From 7439a4b0e05832e047040ab8bf9ef13abd9288b2 Mon Sep 17 00:00:00 2001 From: Timothy Pearson Date: Wed, 11 Jan 2012 16:14:23 -0600 Subject: [PATCH] Update kate synatax highlighting files This closes Bug 764 --- kate/data/CMakeLists.txt | 7 + kate/data/alert_indent.xml | 62 + kate/data/ample.xml | 3813 +++++++++++++++++++++++ kate/data/asm-dsp56k.xml | 329 ++ kate/data/asm-m68k.xml | 651 ++++ kate/data/asp.xml | 4 +- kate/data/awk.xml | 3 +- kate/data/bash.xml | 19 +- kate/data/bibtex.xml | 96 +- kate/data/bmethod.xml | 12 +- kate/data/c.xml | 32 +- kate/data/clojure.xml | 748 +++++ kate/data/cmake.xml | 71 +- kate/data/coffee.xml | 267 ++ kate/data/commonlisp.xml | 2 +- kate/data/cpp.xml | 42 +- kate/data/crk.xml | 146 + kate/data/cs.xml | 7 +- kate/data/css.xml | 49 +- kate/data/d.xml | 3 +- kate/data/debianchangelog.xml | 25 +- kate/data/debiancontrol.xml | 10 +- kate/data/djangotemplate.xml | 4 +- kate/data/dosbat.xml | 311 ++ kate/data/doxygen.xml | 269 +- kate/data/e.xml | 19 +- kate/data/fortran.xml | 33 +- kate/data/fsharp.xml | 287 ++ kate/data/gdb.xml | 59 + kate/data/glosstex.xml | 46 + kate/data/glsl.xml | 2 +- kate/data/gnuassembler.xml | 39 +- kate/data/go.xml | 150 + kate/data/grammar.xml | 145 + kate/data/haskell.xml | 758 +++-- kate/data/ini.xml | 2 +- kate/data/jam.xml | 347 +++ kate/data/java.xml | 9 +- kate/data/javascript.xml | 324 +- kate/data/json.xml | 12 +- kate/data/latex.xml | 280 +- kate/data/literate-haskell.xml | 418 +-- kate/data/lua.xml | 8 +- kate/data/m4.xml | 193 ++ kate/data/makefile.xml | 53 +- kate/data/mediawiki.xml | 8 +- kate/data/mel.xml | 1381 +++++++++ kate/data/nasm.xml | 9 +- kate/data/objectivecpp.xml | 5 +- kate/data/oors.xml | 91 + kate/data/pango.xml | 179 ++ kate/data/perl.xml | 21 +- kate/data/php.xml | 4562 +++++++++------------------- kate/data/python.xml | 294 +- kate/data/qmake.xml | 123 + kate/data/qml.xml | 152 + kate/data/r.xml | 34 +- kate/data/relaxng.xml | 117 + kate/data/relaxngcompact.xml | 108 + kate/data/rest.xml | 62 + kate/data/rpmspec.xml | 485 ++- kate/data/ruby.xml | 46 +- kate/data/sed.xml | 267 ++ kate/data/sql-mysql.xml | 42 +- kate/data/sql-postgresql.xml | 18 +- kate/data/sql.xml | 20 +- kate/data/stata.xml | 3205 +++++++++++++++++-- kate/data/systemverilog.xml | 1028 +++++++ kate/data/tads3.xml | 175 ++ kate/data/tcsh.xml | 868 ++++++ kate/data/txt2tags.xml | 50 +- kate/data/valgrind-suppression.xml | 51 + kate/data/vera.xml | 648 ++++ kate/data/verilog.xml | 105 +- kate/data/vhdl.xml | 433 ++- kate/data/wml.xml | 203 ++ kate/data/xml.xml | 51 +- kate/data/zsh.xml | 959 ++++++ 78 files changed, 20860 insertions(+), 5106 deletions(-) create mode 100644 kate/data/alert_indent.xml create mode 100644 kate/data/ample.xml create mode 100644 kate/data/asm-dsp56k.xml create mode 100644 kate/data/asm-m68k.xml create mode 100644 kate/data/clojure.xml create mode 100644 kate/data/coffee.xml create mode 100644 kate/data/crk.xml create mode 100644 kate/data/dosbat.xml create mode 100644 kate/data/fsharp.xml create mode 100644 kate/data/gdb.xml create mode 100644 kate/data/glosstex.xml create mode 100644 kate/data/go.xml create mode 100644 kate/data/grammar.xml create mode 100644 kate/data/jam.xml create mode 100644 kate/data/m4.xml create mode 100644 kate/data/mel.xml create mode 100644 kate/data/oors.xml create mode 100644 kate/data/pango.xml create mode 100644 kate/data/qmake.xml create mode 100644 kate/data/qml.xml create mode 100644 kate/data/relaxng.xml create mode 100644 kate/data/relaxngcompact.xml create mode 100644 kate/data/rest.xml create mode 100644 kate/data/sed.xml create mode 100644 kate/data/systemverilog.xml create mode 100644 kate/data/tads3.xml create mode 100644 kate/data/tcsh.xml create mode 100644 kate/data/valgrind-suppression.xml create mode 100644 kate/data/vera.xml create mode 100644 kate/data/wml.xml create mode 100644 kate/data/zsh.xml diff --git a/kate/data/CMakeLists.txt b/kate/data/CMakeLists.txt index 212dfafcd..1a8384ca2 100644 --- a/kate/data/CMakeLists.txt +++ b/kate/data/CMakeLists.txt @@ -56,6 +56,13 @@ install( FILES noweb.xml objectivecpp.xml opal.xml pgn.xml rapidq.xml scala.xml sisu.xml systemc.xml texinfo.xml xorg.xml xul.xml yaml.xml zonnon.xml + alert_indent.xml ample.xml asm-dsp56k.xml asm-m68k.xml + clojure.xml coffee.xml crk.xml dosbat.xml fsharp.xml + gdb.xml glosstex.xml go.xml grammar.xml jam.xml m4.xml + mel.xml oors.xml pango.xml qmake.xml qml.xml relaxng.xml + relaxngcompact.xml rest.xml sed.xml systemverilog.xml + tads3.xml tcsh.xml valgrind-suppression.xml vera.xml + wml.xml zsh.xml ${CMAKE_CURRENT_BINARY_DIR}/html-php.xml ${CMAKE_CURRENT_BINARY_DIR}/css-php.xml ${CMAKE_CURRENT_BINARY_DIR}/javascript-php.xml diff --git a/kate/data/alert_indent.xml b/kate/data/alert_indent.xml new file mode 100644 index 000000000..c000e2b66 --- /dev/null +++ b/kate/data/alert_indent.xml @@ -0,0 +1,62 @@ + + + + diff --git a/kate/data/ample.xml b/kate/data/ample.xml new file mode 100644 index 000000000..d5298f15c --- /dev/null +++ b/kate/data/ample.xml @@ -0,0 +1,3813 @@ + + + + + + + break + builtin + case + continue + do + else + extern + local + for + function + if + return + switch + while + + + void + undefined + $abort_enable + $dofile_arg1 + $dofile_arg2 + $dofile_arg3 + $dofile_arg4 + $dofile_arg5 + $dofile_arg6 + $dofile_arg7 + $dofile_arg8 + $dofile_arg9 + $dofile_arg10 + $dofile_arg11 + $dofile_arg12 + $dofile_arg13 + $dofile_arg14 + $dofile_arg15 + $dofile_arg16 + $dofile_arg17 + $dofile_arg18 + $dofile_arg19 + $dofile_arg20 + $dofile_arg_count + $dofile_result + false + off + on + pi + $stderr + $stdin + $stdout + true + two_pi + + + + + + abs + acos + $add_complex + $add_status_args + asin + atan + atan2 + $bad_status + ceil + $clear_file_error + $close_file + $complex_imaginary + $complex_real + $conjugate_complex + $constrain_value + cos + cosh + cot + $create_complex + $create_string_registry + $create_vector + csc + $current_user + $cvt_exist_file + $cvt_read_variable + $cvt_type + $cvt_write_variable + $date + deg + $divide_complex + $dofile + $e + $eof + exp + $expand_rest + $f + $file_error + $file_exist + $file_pos + $file_status + floor + $flush_file + $format + $free_stream_id + $function_help + $function_ref_help + $function_signature + $g + $generate_rand + $get_ample_status + $get_app_name + $get_app_ver + $get_env + $i + $integer_divide + length + $list_overwritten_functions + $load_library + log + log10 + $lower_string + $magnitude_complex + $multiply_complex + $n + $number_string + $open_file + $ord_to_string + $phase_complex + $pop_ample_status + pow + $qsort + rad + $raise_status + $read_file + $reads_file + $real_time + $register_alias + $register_args + $register_command + $resolve_mgc_path + $round + $round_prec + $s + sec + $seek_file + $set_function_status + $set_rand + $set_status + $set_thousands + $set_transcript_mode + sin + sinh + sqrt + $sscanf + $strcat + $strftime + $string_locate + $string_status + $string_to_ord + $subtract_complex + $suspend + $sys_time + $system + tan + tanh + $time + $traceback + $truncate + $type + $undefine_id + $upper_string + $vector_count_range + $vector_element_divide + $vector_element_multiply + $vector_find + $vector_histogram + $vector_integrate + $vector_max + $vector_min + $vector_search + $vector_slope + $vector_sum + $vendor_cpu + $where_is + $write_file + $writeln_file + $writes_file + + + $acquire_license + $activate_net + $activate_net_by_handle + $activate_net_by_name + $activate_port + $activate_port_by_handle + $activate_port_by_name + $add_cell + $add_contacts + $add_device + $add_fp_shape + $add_group_property + $add_metal + $add_multiple_text + $add_net_members_to_ports + $add_overflow + $add_overflow_by_handle + $add_panel + $add_path + $add_path_device + $add_point_device + $add_property + $add_property_group_members + $add_property_group_selections + $add_property_text + $add_route + $add_row + $add_rows_by_area + $add_ruler + $add_shape + $add_shape_device + $add_text + $add_text_on_ports + $add_to_library + $add_to_net + $add_to_port + $add_via + $align + $apply_print_vector_attributes + $attach_library + $autofloorplan + $autoplace_blocks + $autoplace_corner_cells + $autoplace_group + $autoplace_pins + $autoplace_ports + $autoplace_power_vias + $autoplace_standard_cells + $autoroute_all + $autoroute_nets + $autoroute_overflow + $backannotate_net_parameters + $build_hotplot_setup + $build_lib + $build_ports + $build_ports_shapes + $build_ports_text_location + $build_power_strap_shapes + $build_power_straps + $build_read_gdsii_optfile + $change_array + $change_aspect + $change_cursor_status + $change_device + $change_from_object_template + $change_group_property + $change_layer + $change_net + $change_object_attributes + $change_object_template + $change_overflow + $change_overflow_by_handle + $change_path + $change_port + $change_property + $change_property_group_members + $change_property_group_selections + $change_property_text + $change_row + $change_text + $change_via_type + $change_window + $checkpoint_cell + $checkpoint_cell_by_name + $check_drc + $check_fn + $check_instances + $check_overflows + $check_polygons + $check_power_pins + $check_preconditions + $check_shorts_all + $check_shorts_selected + $clear_clipboard + $close_layout_library + $close_logic + $close_selection + $close_session + $close_window + $compact + $compose_groups + $compose_layer_geometries + $compose_layer_selections + $connect_instance + $convert_dracula + $copy + $copy_edit_hotkey_settings + $copy_relative + $copy_to_clipboard + $copy_to_fp_layer + $copy_to_layer + $create_cell + $create_def_from_layout_view + $create_layout_library + $create_layout_view + $create_layout_view_from_def + $create_layout_view_from_lef + $create_layout_view_from_verilog + $create_lef_from_layout_library + $create_lef_from_layout_view + $create_library + $create_process + $create_toolbar + $create_via_cell + $create_viewpoint + $cut + $cut_stretch + $deactivate + $define_hotkey + $define_layer_alias + $define_layer_name + $define_layer_set + $define_max_gate_width + $define_mos_site_type + $define_must_connect + $define_net_pair + $define_net_shield + $define_route_transform + $delete + $delete_area + $delete_connectivity + $delete_drc_all + $delete_drc_area + $delete_drc_check + $delete_drc_current + $delete_drc_point + $delete_drc_scan + $delete_from_library + $delete_group_property + $delete_lvs_results + $delete_panel + $delete_property + $delete_property_group_members + $delete_property_group_selections + $delete_routing + $delete_ruler + $delete_rulers_all + $detach_library + $display_channels + $does_cell_exist + $edit_library + $edit_process + $edit_process_override + $enable_edit_layout_library + $export_drc_check + $extract_cell_connectivity + $extract_direct_distributed_parameters + $extract_direct_lumped_parameters + $extract_mask_distributed_parameters + $extract_mask_lumped_parameters + $fillet + $fillet_area + $filter_group + $fit_fp_shape + $flatten + $flatten_hierarchy + $flip + $flip_in_place + $flip_on_axis + $form_ic_action_buttons_gadget + $form_ic_color_paint_chip_gadget + $form_ic_display_gadget + $form_ic_layer_palette_gadget + $form_ic_patterns_list_box_gadget + $form_single_check_box_gadget + $fracture + $freeze_window + $get_absolute_points + $get_acap_info + $get_active_ic_window + $get_active_net + $get_active_port + $get_arc + $get_area + $get_area_estimate + $get_array_value + $get_auto_checkpoint + $get_basepoint + $get_bottom_orient_set + $get_boundary + $get_cap_extent + $get_cap_neg_pin + $get_cap_pos_pin + $get_cell_boundary + $get_cell_configuration + $get_cell_equivalents + $get_cell_info + $get_cell_list_dts + $get_cell_path + $get_cell_refs + $get_cell_xrefs + $get_circle + $get_closed_polygon + $get_closest_object_location + $get_closest_object_points + $get_compact_add_blkgs + $get_compact_jog_power + $get_compose_layer_geometries + $get_compose_layer_selections + $get_connectivity_layers + $get_containment + $get_context_contrast + $get_context_from_world + $get_crosshair_target_radius + $get_crosshair_target_style + $get_def_bus_bit_characters + $get_def_bus_bit_characters + $get_def_divider_character + $get_def_divider_character + $get_def_non_orthogonal + $set_def_non_orthogonal + $get_design_layers + $get_design_path + $get_design_refs + $get_dev_info + $get_dev_layer + $get_dev_rule + $get_device_alias + $get_device_iobj + $get_device_name + $get_drc_check_current_count + $get_drc_check_original_count + $get_drc_check_polygon_count + $get_drc_check_scan_count + $get_drc_current_result_check_name + $get_drc_current_result_number + $get_drc_current_result_points + $get_drc_current_result_type + $get_drc_default_layer_directory_name + $get_drc_default_summary_report_file_name + $get_drc_results_database_check_count + $get_drc_results_database_check_names + $get_drc_results_database_nonempty_check_names + $get_drc_results_database_result_count + $get_drc_results_database_scan_check_names + $get_drc_results_database_scan_result_count + $get_endangered_nets + $get_externals_info + $get_fp_compute_num_rows + $get_fp_compute_route_area_ratio + $get_fp_extend_row + $get_fractured_rectangles + $get_gadget_value + $get_graphic_preempt_list + $get_group_members + $get_group_names + $get_group_property_names + $get_highlight_count + $get_hotkey_settings + $get_ic_cell_windows + $get_ic_location + $get_ic_window_names + $get_instance_extent + $get_instance_fp_extent + $get_instance_version + $get_internal_row_layout + $get_internal_row_sequence + $get_layer_info + $get_layer_minimum_spacing + $get_layer_minimum_width + $get_layer_names + $get_layer_number + $get_layer_palette_height + $get_layers + $get_layout_counterpart + $get_layout_window + $get_lef_bus_bit_characters + $get_lef_bus_bit_characters + $get_lef_divider_character + $get_lef_divider_character + $get_lef_non_orthogonal + $set_lef_non_orthogonal + $get_lef_overlap_boundary + $set_lef_overlap_boundary + $get_left_orient_set + $get_library_cells + $get_licenses + $get_loaded_logic + $get_logic_counterpart + $get_mark + $get_mask_device_count + $get_mask_discrepancy_count + $get_mask_net_count + $get_mos_gate_pin + $get_mos_sd_extent + $get_mos_sd_pin + $get_nearest_edge + $get_net_members + $get_new_object_handles + $get_object_extent + $get_object_info + $get_outdated_cells + $get_outline + $get_panel_extent + $get_panel_names + $get_parameter_default + $get_peek_protected + $get_perimeter + $get_pid + $get_pin_members + $get_pins + $get_points + $get_port_members + $get_process + $get_process_override + $get_property_handles + $get_property_names + $get_property_value + $get_report_obj_types + $get_report_options + $get_res_extent + $get_res_neg_pin + $get_res_pos_pin + $get_reserved + $get_right_orient_set + $get_rotation_point + $get_router_add_blkgs + $get_router_align_cells + $get_router_align_mode + $get_router_block_feed_percent + $get_router_block_stub_mode + $get_router_cell_feed_percent + $get_router_channel_ocr + $get_router_connect_blk_pwr + $get_router_constrain_power + $get_router_create_power_grid + $get_router_limit_area + $get_router_overflow_mode + $get_router_pre_route + $get_router_preserve_power_width + $get_router_probe_extent_margin + $get_router_x_margin + $get_router_y_margin + $get_row_members + $get_rule_file_check_count + $get_rule_file_check_names + $get_rule_file_select_check_count + $get_rule_file_select_check_names + $get_select_area + $get_select_cell + $get_select_count + $get_select_extent + $get_select_set + $get_selectable_layers + $get_selected_edges + $get_short_segment + $get_snapped_points + $get_status_line_info + $get_top_orient_set + $get_traced_properties_numeric + $get_traced_properties_string + $get_visible_layers + $get_window_cell + $get_window_info + $get_world_from_context + $get_world_from_screen + $group + $group_by_name + $group_by_property + $group_on_selected + $group_text + $hide_layer_palette + $hide_status_line + $hide_system_toolbar + $hide_toolbar + $hide_tooltips + $highlight_all + $highlight_all_discrepancies + $highlight_all_incorrect + $highlight_all_unmatched + $highlight_by_location + $highlight_by_name + $highlight_by_property + $highlight_current_discrepancy + $highlight_discrepancy + $highlight_first_discrepancy + $highlight_group + $highlight_hierarchical_net + $highlight_next_discrepancy + $highlight_on_selected + $highlight_previous_discrepancy + $highlight_protected + $hotplot + $hotplot_invoke + $insert_posts + $iroute + $is_cell_outdated + $is_cell_reserved + $is_cell_salvage_pending + $is_edge_selected + $is_history_active + $is_layer_palette_visible + $is_library_reserved + $is_licensed + $is_process_reserved + $is_select_set_closed + $is_status_line_visible + $is_toolbar_visible + $link_floorplan_shape + $list_drc_all + $list_drc_check + $list_drc_selected + $load_design_hierarchy + $load_hotkey_settings + $load_logic + $load_mask_results + $load_process + $load_rules + $loc + $lvs_direct + $lvs_mask + $make_array + $make_cell + $make_font + $make_keypad_panels + $make_net + $make_port + $mark_instances_as_ignored + $measure_distance + $merge + $mgc_scope_name_pre_init + $minimize_levels + $minimize_vias + $modify_centerline + $move + $move_acap + $move_cursor_down + $move_cursor_left + $move_cursor_right + $move_cursor_up + $move_in_row + $move_on_row + $move_relative + $msg_cell_route_hierarchy_mode + $notch + $notch_special + $open_cell + $open_cell_map + $open_clipboard + $open_context_cell + $open_group_window + $open_hierarchy_window + $open_layout_library + $open_layout_view + $open_logic + $open_logic_by_name + $open_mask_logic + $open_selected_cell + $partition_hierarchy + $paste + $peek + $peek_area + $place + $place_on_row + $place_schematic_instances + $place_schematic_ports + $polygon_to_path + $print_cell + $prompt_for_ic_line + $prompt_for_ic_location + $prompt_for_ic_polygon + $prompt_for_ic_polyline + $prompt_for_ic_rectangle + $prompt_for_ic_route + $protect + $protect_group + $protect_nets + $read_def + $read_gdsii + $read_lef + $read_spice + $read_verilog + $read_xml + $redo + $redraw + $redraw_area + $regenerate_device + $release_license + $reload_cell + $reload_cell_by_name + $remove_contacts_by_area + $remove_contacts_by_m2 + $remove_contacts_by_via + $remove_from_net + $remove_from_port + $remove_metal_by_area + $reopen_selection + $repeat_fn + $repeat_objects + $replace_cell + $replace_toolbar + $report_active_context + $report_all_mask_devices + $report_all_mask_nets + $report_capacitor_type + $report_cell + $report_cell_list_dts + $report_current_direct_net + $report_current_discrepancy + $report_current_mask_device + $report_current_mask_discrepancy + $report_current_mask_net + $report_design_layers + $report_design_tree + $report_design_xref + $report_direct_thresholds + $report_drc_all + $report_drc_area + $report_drc_check + $report_drc_current + $report_drc_point + $report_drc_scan + $report_eco_results + $report_gb_type + $report_group + $report_group_names + $report_hotkey_settings + $report_layer_attributes + $report_layer_sets + $report_library + $report_licenses + $report_logic + $report_lvs_results + $report_mask_results + $report_mask_thresholds + $report_mos_type + $report_net_parameters + $report_nets + $report_outdated_cells + $report_panels + $report_paired_nets + $report_peek_protected + $report_place_route + $report_ports + $report_process + $report_property_names + $report_reserved + $report_resistor_type + $report_row_capacity + $report_scoring + $report_selected + $report_shown_mask_devices + $report_shown_mask_discrepancies + $report_shown_mask_nets + $report_text_file + $report_via_type + $report_windows + $reselect + $reserve_cell + $reserve_cell_by_name + $reserve_library + $reserve_process + $reset_basepoint + $reset_licensing + $resize + $restore_defaults + $restore_drc_results + $restructure_nets + $resync_cell + $resync_cell_by_name + $resync_library + $resync_viewpoint + $rotate + $route_point_to_point + $rule_file_loaded + $run_eco + $salvage_cell + $salvage_reference + $save_cell + $save_cell_as + $save_cell_by_name + $save_drc_results + $save_layout_library + $save_library + $save_process + $save_process_by_name + $save_setup + $scale_cells + $scale_context + $scan_drc_all + $scan_drc_check + $sdl_cleanup + $sdl_create_cell + $select_all + $select_area + $select_area_polygon + $select_by_name + $select_by_property + $select_drc_all + $select_drc_check + $select_edge + $select_fixed_routes + $select_folds + $select_group + $select_highlighted + $select_ignored_instances + $select_on_selected + $select_one + $select_range + $select_text + $select_unplaced_schematic_instances + $select_unplaced_schematic_ports + $send_to_prompt + $set_active_port_style + $get_active_port_style + $set_active_ruler_layer + $get_active_ruler_layer + $set_add_route_alignment + $get_add_route_alignment + $set_add_route_checking + $get_add_route_checking + $set_add_route_padding + $get_add_route_padding + $set_angle_mode + $set_angle_mode_enforcement + $get_angle_mode_enforcement + $set_anneal_cooling_factor + $get_anneal_cooling_factor + $set_arc_segments + $get_arc_segments + $set_array_display_style + $get_array_display_style + $set_auto_focus_active_window + $get_auto_focus_active_window + $set_auto_outline_mode + $get_auto_outline_mode + $set_auto_restructure + $get_auto_restructure + $set_autonotch + $get_autonotch + $set_autoselect + $get_autoselect + $set_basepoint + $set_cell_configuration + $set_cell_logical_name + $set_cell_origin + $set_cell_process + $set_cell_route_hierarchy_mode + $set_cell_type + $set_check_drc_message_mode + $set_circle_input_style + $get_circle_input_style + $set_click_distance + $get_click_distance + $set_compact_center_wires + $get_compact_center_wires + $set_compact_corner_spacing + $get_compact_corner_spacing + $set_compact_cost_factor + $get_compact_cost_factor + $set_compact_ext_cells + $get_compact_ext_cells + $set_compact_ext_rows + $get_compact_ext_rows + $set_compact_jogs + $get_compact_jogs + $set_compact_offset_vias + $get_compact_offset_vias + $set_compact_path_mini + $get_compact_path_mini + $set_compact_route_levels + $get_compact_route_levels + $set_compact_suppress_output + $get_compact_suppress_output + $set_compact_to + $get_compact_to + $set_compact_wires_mode + $get_compact_wires_mode + $set_contact_count + $set_context + $set_context_up + $set_copy_ports_on_copy + $get_copy_ports_on_copy + $set_cross_probe + $get_cross_probe + $set_cross_probe_mode + $set_crosshair_style + $get_crosshair_style + $set_crosshair_target + $set_crosshair_target_radius + $set_cull + $set_def_bus_bit_characters + $set_def_divider_character + $set_def_non_orthogonal + $set_direct_thresholds + $set_display_window_title + $get_display_window_title + $set_drag_complexity + $get_drag_complexity + $set_drag_ruler_mode + $get_drag_ruler_mode + $set_drc_check + $set_drc_first + $set_drc_fit_factor + $set_drc_jump + $set_drc_last + $set_drc_next + $set_drc_previous + $set_drc_result + $set_drc_skip + $set_duplicate_ports_policy + $set_dynamic_drc + $get_dynamic_drc + $set_dynamic_drc_complexity + $get_dynamic_drc_complexity + $set_dynamic_hotkey_mode + $get_dynamic_hotkey_mode + $set_dynamic_loading + $get_dynamic_loading + $set_dynamic_message_mode + $get_dynamic_message_mode + $set_dynamic_pre_locations + $set_error_handling + $get_error_handling + $set_fill_display + $get_fill_display + $set_filled_layers + $get_filled_layers + $set_first_direct_net + $set_first_mask_device + $set_first_mask_discrepancy + $set_first_mask_net + $set_fp_bottom_gap + $get_fp_bottom_gap + $set_fp_left_gap + $get_fp_left_gap + $set_fp_lower_aspect + $get_fp_lower_aspect + $set_fp_max_height + $get_fp_max_height + $set_fp_max_width + $get_fp_max_width + $set_fp_num_rows + $get_fp_num_rows + $set_fp_right_gap + $get_fp_right_gap + $set_fp_route_area_ratio + $get_fp_route_area_ratio + $set_fp_top_gap + $get_fp_top_gap + $set_fp_upper_aspect + $get_fp_upper_aspect + $set_fractured_route + $get_fractured_route + $set_gadget_value + $set_gate_size + $set_gds_allanglefracture + $get_gds_allanglefracture + $set_gds_anglemode + $get_gds_anglemode + $set_gds_auto_identify_vias + $get_gds_auto_identify_vias + $set_gds_cellnamecase + $get_gds_cellnamecase + $set_gds_cellnamelength + $get_gds_cellnamelength + $set_gds_cellnamemap + $get_gds_cellnamemap + $set_gds_fixpolygons + $get_gds_fixpolygons + $set_gds_layerfilter + $get_gds_layerfilter + $set_gds_layermap + $get_gds_layermap + $set_gds_library + $get_gds_library + $set_gds_lockcells + $get_gds_lockcells + $set_gds_logfile + $get_gds_logfile + $set_gds_process + $get_gds_process + $set_gds_properties + $get_gds_properties + $set_gds_read_scale + $get_gds_read_scale + $set_gds_replace + $get_gds_replace + $set_gds_save_cells + $get_gds_save_cells + $set_gds_skipunmapped + $get_gds_skipunmapped + $set_gds_textheightmap + $get_gds_textheightmap + $set_gds_transcript + $get_gds_transcript + $set_graphic_interrupt + $get_graphic_interrupt + $set_gravity_distance + $get_gravity_distance + $set_grid + $set_guideline_net_size + $get_guideline_net_size + $set_guideline_width_limit + $get_guideline_width_limit + $set_hocr + $get_hocr + $set_hotkey_mode + $get_hotkey_mode + $set_ic_array_columns + $get_ic_array_columns + $set_ic_array_rows + $get_ic_array_rows + $set_ic_aspect + $get_ic_aspect + $set_ic_cell_flip + $get_ic_cell_flip + $set_ic_cell_orientation + $get_ic_cell_orientation + $set_ic_cell_rotation + $get_ic_cell_rotation + $set_ic_cell_scale + $get_ic_cell_scale + $set_ic_layer + $get_ic_layer + $set_ic_path_end_style + $get_ic_path_end_style + $set_ic_path_old_style + $get_ic_path_old_style + $set_ic_path_padding + $get_ic_path_padding + $set_ic_path_style + $get_ic_path_style + $set_ic_path_width + $get_ic_path_width + $set_ic_property_owner + $get_ic_property_owner + $set_ic_property_replace + $get_ic_property_replace + $set_ic_property_text_height + $get_ic_property_text_height + $set_ic_property_text_horz_just + $get_ic_property_text_horz_just + $set_ic_property_text_orientation + $get_ic_property_text_orientation + $set_ic_property_text_vert_just + $get_ic_property_text_vert_just + $set_ic_row_auto_resize + $get_ic_row_auto_resize + $set_ic_row_justification + $get_ic_row_justification + $set_ic_row_site + $get_ic_row_site + $set_ic_row_slideable + $get_ic_row_slideable + $set_instance_extent_display + $get_instance_extent_display + $set_instance_name_display + $get_instance_name_display + $set_instance_origin_display + $get_instance_origin_display + $set_instance_route_hierarchy_mode + $set_iobj_points + $set_iroute_accept_at_current_loc + $set_iroute_auto_shield + $set_iroute_checking + $set_iroute_push_mode + $set_iroute_route_transforms + $set_iroute_select_with_active_layer + $set_iroute_show_alignment + $set_iroute_show_ortho_path + $set_iroute_via_generator_is_default + $set_iroute_width_change + $set_join_on_move + $get_join_on_move + $set_layer_appearance + $set_layer_palette_height + $get_layer_palette_height + $set_layer_path_width + $set_lef_bus_bit_characters + $get_lef_bus_bit_characters + $set_lef_divider_character + $get_lef_divider_character + $set_lef_non_orthogonal + $get_lef_non_orthogonal + $set_lef_overlap_boundary + $get_lef_overlap_boundary + $set_library_cell_type + $set_library_site_types + $set_location_mode + $get_location_mode + $set_logic_source + $set_logical_correspondence + $set_lvs_all_capacitor_pins_swappable + $get_lvs_all_capacitor_pins_swappable + $set_lvs_component_subtype_property + $get_lvs_component_subtype_property + $set_lvs_component_type_properties + $get_lvs_component_type_properties + $set_lvs_default_direct_source_subname + $get_lvs_default_direct_source_subname + $set_lvs_default_mask_source_subname + $get_lvs_default_mask_source_subname + $set_lvs_default_report_name + $get_lvs_default_report_name + $set_lvs_filter_unused_bipolar_transistors + $get_lvs_filter_unused_bipolar_transistors + $set_lvs_filter_unused_mos_transistors + $get_lvs_filter_unused_mos_transistors + $set_lvs_ground_names + $get_lvs_ground_names + $set_lvs_ignore_ports + $get_lvs_ignore_ports + $set_lvs_pin_name_properties + $get_lvs_pin_name_properties + $set_lvs_power_names + $get_lvs_power_names + $set_lvs_recognize_gates + $get_lvs_recognize_gates + $set_lvs_recognize_only_simple_gates + $get_lvs_recognize_only_simple_gates + $set_lvs_reduce_parallel_bipolar_transistors + $get_lvs_reduce_parallel_bipolar_transistors + $set_lvs_reduce_parallel_capacitors + $get_lvs_reduce_parallel_capacitors + $set_lvs_reduce_parallel_diodes + $get_lvs_reduce_parallel_diodes + $set_lvs_reduce_parallel_mos_transistors + $get_lvs_reduce_parallel_mos_transistors + $set_lvs_reduce_parallel_resistors + $get_lvs_reduce_parallel_resistors + $set_lvs_reduce_series_capacitors + $get_lvs_reduce_series_capacitors + $set_lvs_reduce_series_resistors + $get_lvs_reduce_series_resistors + $set_lvs_reduce_split_gates + $get_lvs_reduce_split_gates + $set_lvs_report_list_limit + $get_lvs_report_list_limit + $set_lvs_write_instance_cross_reference + $get_lvs_write_instance_cross_reference + $set_lvs_write_net_cross_reference + $get_lvs_write_net_cross_reference + $set_mark + $set_mask_auto_view + $set_mask_default_database_name + $get_mask_default_database_name + $set_mask_thresholds + $get_mask_thresholds + $set_max_auto_display + $get_max_auto_display + $set_modify_distance + $get_modify_distance + $set_mos_cell_type + $get_mos_cell_type + $set_mos_share + $get_mos_share + $set_net_priority + $set_new_window_cull + $get_new_window_cull + $set_new_window_grid + $get_new_window_grid + $set_next_direct_net + $set_next_mask_device + $set_next_mask_discrepancy + $set_next_mask_net + $set_packed_vias + $set_padding_grid + $set_path_display_style + $get_path_display_style + $set_peek_on_view + $get_peek_on_view + $set_peek_protect + $set_pex_backannotation_distributed + $get_pex_backannotation_distributed + $set_pex_backannotation_lumped + $get_pex_backannotation_lumped + $set_pex_capacitance_scale + $get_pex_capacitance_scale + $set_pex_coupled_distributed + $get_pex_coupled_distributed + $set_pex_delay + $get_pex_delay + $set_pex_exclude_distributed + $get_pex_exclude_distributed + $set_pex_include_distributed + $get_pex_include_distributed + $set_pex_netlist_distributed + $get_pex_netlist_distributed + $set_pex_netlist_lumped + $get_pex_netlist_lumped + $set_pex_netlist_simple + $get_pex_netlist_simple + $set_pex_options_lumped + $get_pex_options_lumped + $set_pex_report_distributed + $get_pex_report_distributed + $set_pex_report_lumped + $get_pex_report_lumped + $set_pin_shape_editing + $set_placement_select_mode + $get_placement_select_mode + $set_point_select_mode + $get_point_select_mode + $set_point_select_reset_basepoint + $get_point_select_reset_basepoint + $set_port_pin_name_display + $get_port_pin_name_display + $set_preferred_overflow_layers + $get_preferred_overflow_layers + $set_previous_direct_net + $set_previous_mask_device + $set_previous_mask_discrepancy + $set_previous_mask_net + $set_print_appearance + $set_print_array_style + $get_print_array_style + $set_print_cull + $get_print_cull + $set_print_grid + $get_print_grid + $set_print_layers + $get_print_layers + $set_print_levels + $get_print_levels + $set_print_peeked_only + $get_print_peeked_only + $set_process_override + $set_push_count_limit + $set_query_on_merge + $get_query_on_merge + $set_query_on_placement + $get_query_on_placement + $set_redraw_controls + $get_redraw_controls + $set_redraw_level + $get_redraw_level + $set_redraw_precision + $get_redraw_precision + $set_redraw_queue_control + $get_redraw_queue_control + $set_report_target + $get_report_target + $set_restrict_visible + $get_restrict_visible + $set_resync_on_peek + $get_resync_on_peek + $set_route_center_wires + $get_route_center_wires + $set_route_hierarchy_mode + $set_route_net_order + $get_route_net_order + $set_route_objects + $get_route_objects + $set_route_one_pass + $get_route_one_pass + $set_route_overflow_order + $get_route_overflow_order + $set_route_pack_wires + $get_route_pack_wires + $set_route_protection + $set_route_same_net_checking + $get_route_same_net_checking + $set_route_stub_direction + $get_route_stub_direction + $set_route_trim_nets + $get_route_trim_nets + $set_route_via_rotation + $get_route_via_rotation + $set_router_channel_size_variation + $get_router_channel_size_variation + $set_router_cleanup + $get_router_cleanup + $set_router_compound_path + $get_router_compound_path + $set_router_conn_order + $get_router_conn_order + $set_router_do_net_rules_check + $get_router_do_net_rules_check + $set_router_feed_bias + $get_router_feed_bias + $set_router_h_grid_size + $get_router_h_grid_size + $set_router_improve_global_val + $get_router_improve_global_val + $set_router_initial_global_val + $get_router_initial_global_val + $set_router_max_bends + $get_router_max_bends + $set_router_max_iters + $get_router_max_iters + $set_router_max_vias + $get_router_max_vias + $set_router_minimized_layers + $get_router_minimized_layers + $set_router_mode_type + $get_router_mode_type + $set_router_num_extra_tracks + $get_router_num_extra_tracks + $set_router_oper_mode_type + $get_router_oper_mode_type + $set_router_primary_layer_used + $get_router_primary_layer_used + $set_router_probe_layers + $get_router_probe_layers + $set_router_restricted_layers + $get_router_restricted_layers + $set_router_step_size + $get_router_step_size + $set_router_use_gridded_mode + $get_router_use_gridded_mode + $set_router_v_grid_size + $get_router_v_grid_size + $set_router_view_probes + $get_router_view_probes + $set_router_wrong_direction + $get_router_wrong_direction + $set_ruler_angle_mode + $get_ruler_angle_mode + $set_ruler_select_state + $get_ruler_select_state + $set_ruler_text_height + $get_ruler_text_height + $set_sd_hv_contact + $set_sdl_filter + $get_sdl_filter + $set_sdl_preserve_orientation + $get_sdl_preserve_orientation + $set_sdl_quiet + $get_sdl_quiet + $set_search_path + $get_search_path + $set_select_via_pin + $get_select_via_pin + $set_selectable_area + $get_selectable_area + $set_selectable_layers + $set_selectable_types + $get_selectable_types + $set_site_type + $set_snap_basepoint_to_grid + $get_snap_basepoint_to_grid + $set_target_mode + $get_target_mode + $set_text_cull_basis + $get_text_cull_basis + $set_text_display + $get_text_display + $set_text_origin_display + $get_text_origin_display + $set_timer + $set_toolbar_alignment + $get_toolbar_alignment + $set_toolbar_keep_items_visible + $get_toolbar_keep_items_visible + $set_undo_level + $get_undo_level + $set_undo_object_limit + $get_undo_object_limit + $set_unselect_empty + $get_unselect_empty + $set_update_connected + $get_update_connected + $set_verilog_array_delimiters + $get_verilog_array_delimiters + $set_verilog_supply0 + $get_verilog_supply0 + $set_verilog_supply1 + $get_verilog_supply1 + $set_view_controls + $get_view_controls + $set_visible_layers + $set_working_directory + $get_working_directory + $set_xml_group_protection + $get_xml_group_protection + $set_xml_logfile + $get_xml_logfile + $set_xml_other_protection + $get_xml_other_protection + $set_xml_read_cell_path_style + $get_xml_read_cell_path_style + $set_xml_replace + $get_xml_replace + $set_xml_style + $get_xml_style + $set_xml_write_cell_path_style + $get_xml_write_cell_path_style + $setup_auto_checkpoint + $setup_ic + $setup_ic_dynamics + $setup_new_windows + $setup_print + $setup_redraw_controls + $setup_reports + $setup_rulers + $get_active_ruler_bgd_color + $get_active_ruler_color + $get_active_ruler_font + $setup_sdl + $setup_select_filter + $setup_session + $setup_status_line + $show_all_mask_devices + $show_all_mask_discrepancies + $show_all_mask_nets + $show_aspect + $show_contact_gaps + $show_context + $show_current_mask_device + $show_current_mask_discrepancy + $show_current_mask_net + $show_drc_all + $show_drc_area + $show_drc_check + $show_drc_current + $show_drc_scan + $show_group + $show_guidelines + $show_layer_palette + $show_mask_device_id + $show_mask_device_name + $show_mask_device_point + $show_mask_discrepancy + $show_mask_net_id + $show_mask_net_name + $show_mask_net_point + $show_panel + $show_status_line + $show_system_toolbar + $show_toolbar + $show_tooltips + $show_unplaced_instances + $slice + $slice_array + $slice_with_polygon + $slide_route + $snap_to_grid + $snap_to_row + $startup + $stretch + $stretch_relative + $swap_logically_equivalent_nets + $toolbar_context_glyph_item + $toolbar_glyph_item + $tooltips_visible + $trace_property_numeric + $trace_property_string + $trim_invalid_nets + $trim_nets + $trim_nets_options + $undefine_layer_alias + $undefine_layer_name + $undefine_layer_set + $undefine_must_connect + $undefine_net_pairs + $undelete_drc + $undo + $unfreeze_window + $ungroup + $unhighlight_all + $unhighlight_group + $unhighlight_hierarchical_net + $unlink_floorplan_shape + $unload_closed_cells + $unload_mask_results + $unload_viewpoint + $unmake_port + $unmark_all_ignored + $unmark_instances_as_ignored + $unpeek + $unpeek_area + $unplace + $unprotect_all + $unprotect_group + $unprotect_nets + $unreserve_cell + $unreserve_cell_by_name + $unreserve_library + $unreserve_process + $unselect_all + $unselect_all_edges + $unselect_area + $unselect_area_polygon + $unselect_by_name + $unselect_by_property + $unselect_drc + $unselect_edge + $unselect_group + $unselect_range + $unset_cell_process + $unset_logic_source + $unset_process_override + $unshow_all_mask_devices + $unshow_all_mask_discrepancies + $unshow_all_mask_nets + $unshow_all_mask_results + $unshow_current_mask_device + $unshow_current_mask_discrepancy + $unshow_current_mask_net + $unshow_drc + $unshow_guidelines + $untrace_all_properties + $update_cell + $update_cell_by_name + $update_gadget + $update_group_window + $update_hier_window + $update_layout_view + $update_layout_config_view + $update_library + $view_all + $view_all_mask_devices + $view_all_mask_discrepancies + $view_all_mask_nets + $view_area + $view_centered + $view_context + $view_current_mask_device + $view_current_mask_discrepancy + $view_current_mask_net + $view_drc + $view_highlighted + $view_next + $view_panel + $view_previous + $view_selected + $write_calibre + $write_def + $write_design_lef + $write_direct_cnet + $write_direct_netlist + $write_gdsii + $write_hierarchical_netlist + $write_lef + $write_mask_cnet + $write_mask_netlist + $write_source_cnet + $write_xml + $zoom_in + $zoom_out + $zoom_to_grid + + + $$add_arc + $add_border + $add_bus + $add_circle + $add_dot + $add_fb_def + $add_fb_inst + $add_fb_pins + $add_frame + $add_ic_viewpoint_type + $add_instance + $add_line + $add_net + $add_panel + $add_parameters + $add_pin + $add_polygon + $add_polyline + $add_property + $add_property_to_handle + $add_rectangle + $add_selected_instance + $add_settings_block + $add_sheet_border + $add_text + $add_wire + $align + $allow_resizable_instances + $apply_edits + $auto_sequence_text + $begin_edit_symbol + $change_color + $change_compiled_pin_name + $change_group_visibility + $change_instance_resize_factor + $change_line_style + $change_line_width + $change_net_style + $change_net_width + $change_polygon_fill + $change_property_color + $change_property_font + $change_property_height + $change_property_justification + $change_property_name + $change_property_offset + $change_property_orientation + $change_property_stability_switch + $change_property_type + $change_property_value + $change_property_visibility + $change_property_visibility_switch + $change_text_font + $change_text_height + $change_text_justification + $change_text_value + $change_variant_display + $$check + $check_and_save + $clear_unattached_annotations + $close_design_configuration + $close_selection + $close_window + $comp_name + $connect + $connect_area + $construct_frame + $convert_fb_inst_to_def + $convert_to_comment + $convert_to_new_technology + $copy + $copy_edit_hotkey_settings + $copy_multiple + $copy_to_array + $create_design_configuration + $create_design_sheet + $create_entity + $create_fb_inst_from_def + $create_implicit_pins + $create_pin_list + $create_sheet + $create_symbol + $create_variant_viewpoint + $da_ic_crossprobe + $da_ic_crossprobe_diff + $define_hotkey + $delete + $delete_ba_property + $delete_interfaces + $delete_multiple_ba_properties + $delete_panel + $delete_parameter + $delete_property + $delete_property_owner + $delete_sheet + $delete_template_name + $direct_to_active_window + $disconnect + $disconnect_area + $disconnect_ba + $display_next_sheet + $display_prev_sheet + $display_spec_sheet + $does_selection_exist + $$dump_sim_values + $end_edit_symbol + $$exit_sim_mode + $expand_template_name + $export_spice + $export_verilog + $export_vhdl + $filter_property_check + $flip + $freeze_window + $generate_symbol + $get_active_symbol + $get_active_symbol_history + $get_apply_edits_needed + $get_attached_objects + $get_attributes + $get_auto_update_inst_handles + $get_basepoint + $get_body_text_restriction + $get_bundle_members + $get_check_schematic_status + $get_check_status + $get_comment_graphics_attributes + $get_comment_handles + $get_comment_text_attributes + $get_comment_text_restriction + $get_comment_visibility + $get_default_interface_name + $get_design_sheets + $get_diagram_location + $get_edit_mode + $get_evaluations + $get_fb_line_style_by_handle + $get_frame_attributes + $get_frame_handles + $get_grid + $get_hotkey_settings + $get_in_design_context + $get_instance_attributes + $get_instance_handles + $get_instance_models + $get_instance_pathname + $get_instance_resize_factor + $get_item_type + $get_model_path + $get_net_attributes + $get_net_handles + $get_next_active_symbol + $get_object_property_attributes + $get_objects + $get_objects_in_area + $get_origin + $get_owned_property_names + $get_parameter + $get_pathname + $get_pin_attributes + $get_pin_handles + $get_pin_names + $get_prop_text_restriction + $get_property + $get_property_attributes + $get_property_handles + $get_property_names + $get_property_owners + $get_schematic_sheets + $get_search_path + $get_select_count + $get_select_count_type + $get_select_design_paths + $get_select_extent + $get_select_handles + $get_select_handles_type + $get_select_identical + $get_select_text_exists + $get_select_text_handle + $get_select_text_name + $get_select_text_origin + $get_select_text_value + $get_sheet_design_pathname + $get_sheet_extent + $get_sheetname_viewed + $$get_sim_value + $$get_sim_version + $get_simulation_mode + $get_source_edit_allowed + $get_symbol_name + $get_text_information + $get_type_present + $get_vertex_attributes + $get_vertex_handles + $get_view_area + $get_viewpoint + $get_window_names + $get_window_sheet_list + $group + $hide_active_symbol_window + $hide_annotations + $hide_comment + $hide_context_window + $hide_panel_border + $hide_status_line + $hide_system_toolbar + $hide_toolbar + $highlight_by_handle + $highlight_by_name + $highlight_property_owner + $$hotplot_submit + $import_verilog + $insert_template + $is_active_symbol_window_visible + $is_context_window_visible + $is_handle_valid + $is_selection_open + $is_status_line_visible + $is_system_toolbar_visible + $is_toolbar_visible + $load_hotkey_settings + $make_fb + $make_polygon + $make_polyline + $make_symbol + $mark_property_attributes + $mark_property_value + $measure_distance + $merge_annotations + $modify_frame + $move + $move_cursor_incrementally + $$move_settings_block + $name_instances + $name_instances_auto + $open_design_configuration + $open_design_sheet + $open_down + $open_schematic + $open_sheet + $open_source_code + $open_symbol + $open_top + $open_up + $pivot + $place_active_symbol + $pop_to_front + $print_all_schematics + $print_design_sheets + $protect + $protect_area + $push_to_back + $recalculate_properties + $reconnect_annotations + $redo + $remove_comment_status + $reopen_selection + $replace + $replace_with_alternate_symbol + $report_broken_annotations + $$report_check + $report_default_property_settings + $report_groups + $report_hotkey_settings + $report_interfaces + $report_interfaces_selected + $report_object + $$report_object_name + $report_panels + $report_parameter + $report_unattached_annotations + $reselect + $reset_instance_colors + $resize_fb + $revalidate_models + $rotate + $route + $save_setup + $save_sheet + $save_sheet_as + $save_symbol + $save_symbol_as + $save_variant_viewpoint + $scale + $scroll_down_by_unit + $scroll_down_by_window + $scroll_hz + $scroll_left_by_unit + $scroll_left_by_window + $scroll_right_by_unit + $scroll_right_by_window + $scroll_up_by_unit + $scroll_up_by_window + $scroll_vt + $select_all + $select_area + $select_branches + $select_by_design_path + $select_by_handle + $select_by_name + $select_by_property + $select_by_property_type + $select_fb + $select_group + $select_instances + $select_nets + $select_pins + $select_property_owner + $select_template_name + $select_text + $select_vertices + $sequence_text + $set_active_symbol + $set_active_symbol_history + $set_basepoint + $set_color + $set_color_config + $set_compiler_options + $set_default_parts_menu + $set_edit_mode + $set_evaluations + $set_grid + $set_hotkey_mode + $set_next_active_symbol + $set_origin + $set_out_of_view_warn + $set_parameter + $set_previous_active_symbol + $set_property_owner + $set_property_type + $set_search_path + $set_sel_name_display + $$set_sim_value + $set_simulation_mode + $set_template_directory + $set_toolbar_alignment + $set_userrule_error + $set_userrule_warning + $set_variant_properties + $set_viewpoint + $$settings_block_visible + $setup_annotated_property_text + $setup_check_schematic + $setup_check_schematic_sheet + $$setup_check_sheet + $setup_check_symbol + $setup_color + $setup_comment + $setup_default_viewpoint + $setup_display + $setup_function_block + $setup_grid + $setup_hspice_alter + $setup_net + $setup_object_template + $setup_page + $setup_property_display + $setup_property_text + $setup_report + $setup_ripper + $setup_selection + $setup_select_filter + $setup_sim_config + $setup_sim_model_editor + $setup_symbol_body + $setup_text_restriction + $setup_unselect_filter + $show_active_symbol_window + $show_annotations + $show_comment + $show_context_window + $show_panel_border + $show_registration + $show_status_line + $show_system_toolbar + $show_toolbar + $sim_add_dspf + $sim_add_sdf + $sim_choose_library + $sim_copy_configuration_as + $sim_delete_converter + $sim_edit_commands + $sim_edit_measurements + $sim_edit_sdf + $sim_export_spice + $sim_get_adms_ini_file + $sim_hide_dcop + $sim_insert_converter_default + $sim_insert_converter_inst + $sim_insert_converter_net + $sim_insert_converter_pin + $sim_invoke + $sim_invoke_mr + $sim_invoke_ms + $$sim_merge_annotations + $sim_open_language + $sim_open_lang_model + $sim_process_extracted_netlist + $sim_restore_setup_from + $sim_run + $sim_save_selected + $sim_save_setup_as + $sim_set_additional_commands + $sim_set_include_paths + $sim_set_initial_condition + $sim_set_temperature + $sim_setup_analysis + $sim_setup_analysis_ac + $sim_setup_analysis_dc + $sim_setup_analysis_dcop + $sim_setup_analysis_mc + $sim_setup_analysis_mod_steadystate + $sim_setup_analysis_noise + $sim_setup_analysis_noisetran + $sim_setup_analysis_steadystate + $sim_setup_analysis_steadystateac + $sim_setup_analysis_steadystatenoise + $sim_setup_analysis_steadystateoscil + $sim_setup_analysis_tran + $sim_setup_netlister + $sim_setup_sim_environ + $$sim_setup_simulator_viewer + $$sim_setup_simulator_viewer_advance + $sim_simulate + $sim_view_measurements + $sim_view_output_file + $sim_write_commands + $sim_write_setup_file + $slice + $snap_to_grid + $sort_handles + $sort_handles_by_property + $stretch + $string_to_literal + $symb_name + $undo + $unfreeze_window + $ungroup + $unhighlight_by_handle + $unhighlight_by_name + $unhighlight_property_owner + $unmake_fb + $unprotect + $unprotect_area + $unselect_all + $unselect_area + $unselect_by_design_path + $unselect_by_handle + $unselect_by_property + $unselect_by_property_type + $unselect_fb + $unselect_property_owner + $unselect_vertices + $update + $update_all + $update_all_schematics + $update_border + $update_from_interface + $$update_settings_blocks + $update_title_block + $view_all + $view_area + $view_centered + $view_panel + $view_selected + $vpt_needs_save + $was_saved + $zoom_in + $zoom_out + $get_auto_name_net + $get_auto_place_instance_name + $get_check_busshorts + $get_check_functionblocks + $get_check_schematicbusshorts + $get_check_schematicnetio + $get_fb_def_color + $get_fb_def_line_style + $get_fb_inst_color + $get_fb_inst_line_style + $get_fb_int_change_popup + $get_fb_passthru + $get_fb_popupwin + $set_annotation_color + $set_annotation_visibility + $set_auto_name_net + $set_auto_place_instance_name + $set_auto_update_mode + $set_autoripper + $set_autoroute + $set_autoselect + $set_bus_width + $set_check_annotations + $set_check_busshorts + $set_check_closedots + $set_check_dangle + $set_check_expression + $set_check_filemode + $set_check_filename + $set_check_frame + $set_check_functionblocks + $set_check_initprops + $set_check_instance + $set_check_net + $set_check_notdots + $set_check_overlap + $set_check_owner + $set_check_parameter + $set_check_pins + $set_check_schematicbusshorts + $set_check_schematicinstance + $set_check_schematicinterface + $set_check_schematicnet + $set_check_schematicnetio + $set_check_schematicspecial + $set_check_schematicuserrule + $set_check_special + $set_check_symbolbody + $set_check_symbolinterface + $set_check_symbolpin + $set_check_symbolspecial + $set_check_symboluserrule + $set_check_transcript + $set_check_userrule + $set_check_window + $set_close_dot + $set_closeness_criteria + $set_dot_size + $set_dot_style + $set_dynamic_cursor + $set_dynamic_rounding_precision + $set_environment_dofile_pathname + $set_fb_def_color + $set_fb_def_line_style + $set_fb_inst_color + $set_fb_inst_line_style + $set_fb_int_change_popup + $set_fb_passthru + $set_fb_popupwin + $set_hidden_symbol_prop_display + $set_implicit_ripper + $set_line_style + $set_line_width + $set_modify_multiple_prop_filter + $set_net_style + $set_net_width + $set_new_annotation_visibility + $set_orthogonal + $set_orthogonal_angle + $set_pin_spacing + $set_polygon_fill + $set_property_font + $set_property_height + $set_property_hjustification + $set_property_orientation + $set_property_stability_switch + $set_property_transparency + $set_property_visibility + $set_property_visibility_switch + $set_property_vjustification + $set_report_filemode + $set_report_filename + $set_report_transcript + $set_report_window + $set_ripper_dot + $set_ripper_mode + $set_ripper_query + $set_ripper_symbol_pathname + $set_schem_check_mode + $set_schematicuserrules_file + $set_segment_select_mode + $set_select_aperture + $set_select_comment + $set_select_exterior + $set_select_frame + $set_select_instance + $set_select_net + $set_select_pin + $set_select_property + $set_select_segment + $set_select_symbolbody + $set_select_symbolpin + $set_select_text + $set_select_vertex + $set_selection_color + $set_selection_model + $set_snap + $set_symboluserrules_file + $set_text_font + $set_text_height + $set_text_hjustification + $set_text_orientation + $set_text_transparency + $set_text_vjustification + $set_undo_level + $set_unselect_comment + $set_unselect_exterior + $set_unselect_frame + $set_unselect_instance + $set_unselect_net + $set_unselect_pin + $set_unselect_property + $set_unselect_segment + $set_unselect_symbolbody + $set_unselect_symbolpin + $set_unselect_text + $set_unselect_vertex + $set_userrules_file + $set_user_units + + + $add_menu_item + $ask_color + $ask_frame_name + $ask_integer + $ask_number + $ask_pathname + $ask_pattern + $ask_save_edits + $ask_scope_builtin_name + $ask_scope_callable_name + $ask_scope_form_name + $ask_scope_frame_name + $ask_scope_function_name + $ask_scope_keydef_name + $ask_scope_menu_name + $ask_scope_stroke_name + $ask_string + $ask_yes_no + $ask_yes_no_cancel + $bell + $bottom_y + $c_ask_string + $change_location_map_entry + $change_workspace + $cleanup_icons + $cleanup_windows + $clear_message + $clear_saved_prompt + $close_application_windows + $close_physical_transcript + $close_session + $close_window + $collapse_dockable + $compile_userware + $create_form + $create_menu + $create_notepad + $create_prompt + $create_toolbar + $define_color + $define_userware + $delete_menu_item + $delete_stroke + $disable_interrupt + $disable_softkey_update + $dock_dockable + $dockable_collapsed + $dockable_docked + $dockable_permission + $dockable_pinned + $dockable_visible + $dockables_all_hidden + $dockables_all_shown + $dockables_locked + $double_click_distance + $edit_source + $enable_help + $enable_interrupt + $enable_ref_help + $enable_softkey_update + $eval + $execute + $execute_dynamic + $execute_last_menu + $execute_promptbar + $execute_ref + $execute_stroke + $expand_command + $float_dockable + $forget + $forget_all_promptbars + $forget_promptbar + $form_action + $form_action_buttons_gadget + $form_actual_value + $form_argument + $form_argument_gadget + $form_argument_integer_entry_gadget + $form_argument_number_entry_gadget + $form_argument_string_entry_gadget + $form_argument_value + $form_button + $form_check_boxes_gadget + $form_choice_buttons_gadget + $form_choice_stepper_gadget + $form_click_button_gadget + $form_color_paint_chip_gadget + $form_colors_list_box_gadget + $form_column + $form_display_only + $form_display_text_gadget + $form_dynamic_list_box_gadget + $form_entry_box_gadget + $form_execute_buttons + $form_gadget_value + $form_horiz_dynamic_list_box_gadget + $form_horiz_list_box_gadget + $form_item + $form_label + $form_left_justified_column + $form_list_box_gadget + $form_mouse_tracking + $form_named_argument + $form_named_argument_gadget + $form_navigator_entry + $form_navigator_gadget + $form_number_entry_box_gadget + $form_patterns_list_box_gadget + $form_prompt_text_gadget + $form_push_button_gadget + $form_radio_buttons_gadget + $form_repeat + $form_right_justified_column + $form_row + $form_scope_list_box_gadget + $form_set_no_enter + $form_single_check_box_gadget + $form_string_entry_box_gadget + $form_switch + $form_target_action + $form_target_button + $form_text_entry_box_gadget + $form_variable + $form_variable_gadget + $frame_maximized + $frame_window + $get_action_bar_bgd_color + $get_action_bar_fgd_color + $get_active_color + $get_active_window + $get_additional_workspaces + $get_app_name_and_version + $get_app_name_no_version + $get_auto_pop + $get_auto_refresh + $get_auto_resize_palette + $get_autosave + $get_base_window + $get_bgd_color + $get_border_width + $get_current_profile + $get_cursor_colors + $get_cursor_shape + $get_default_method + $get_default_notepad_font + $get_double_click_interval + $get_expanded_pathname + $get_fgd_color + $get_focus_follows_mouse + $get_focus_follows_mouse_delay + $get_font_nominal_width + $get_form_gadget_value + $get_frame_collapsed + $get_graphic_device + $get_keyboard_type + $get_last_window + $get_message_lines + $get_message_popup + $get_message_reply + $get_message_transcript + $get_notepad_document_status + $get_open_windows + $get_palette_menu_visible + $get_pattern + $get_prompt_fonts + $get_server_switch + $get_sidetab_color + $get_tabbed_workspace_trim_right + $get_text_cursor_blink + $get_transcript_output + $get_window_border_width + $get_window_frame_extent + $get_window_frame_width + $get_workspace + $graphic_x + $graphic_y + $grow_window + $help + $help_context + $help_enabled + $hide_all_dockables + $hide_command_shell + $hide_dockable + $hide_menu_bar + $hide_message_area + $hide_palette + $hide_palette_scrolls + $hide_scrolls + $hide_softkey_labels + $hide_softkeys + $hide_transcript + $hide_window_title + $identify_interrupt + $insert_menu_item + $key_ + $left_x + $load_profile + $load_userware + $location + $lock_dockables + $mark + $maximize_window + $menu_bar_item + $menu_bar_visible + $menu_context_item + $menu_name + $menu_parent_name + $menu_registered_item + $menu_separator_item + $menu_special_text_item + $menu_text_item + $menu_title_item + $message + $message_area_visible + $minimize_window + $move_cursor + $move_dockable + $move_dockable_into + $move_palette + $move_window + $next_field + $next_field_promptbar + $next_icon + $next_window + $open_notepad + $open_physical_transcript + $open_text_report + $option_form_promptbar + $palette_visible + $pause + $pin_dockable + $pop_window + $pop_window_to_top + $popup_command_line + $popup_last_menu + $popup_menu + $popup_menu_at_cursor + $popup_menu_bar + $popup_window_menu + $prev_field + $prev_field_promptbar + $prompt + $prompt_arg + $prompt_display + $prompt_dynamic + $prompt_for_location + $prompt_for_polylocation + $prompt_for_polyrectangle + $prompt_for_rectangle + $prompt_options + $read_cpu_timer + $read_cpu_timer_total + $read_map + $read_timer + $read_timer_total + $redirect_to_active_window + $ref_help + $ref_help_enabled + $refresh + $relative_location + $remove_profile + $replace_palette + $replay_physical_transcript + $report_color + $report_key + $report_stroke + $reposition_window + $reset + $reset_defaults + $reset_timer + $resize_palette + $restore_default_profile + $result + $resume + $right_x + $save_profile + $save_profile_as + $screen_x + $screen_y + $scroll_down_by_unit + $scroll_down_by_window + $scroll_hz + $scroll_left_by_unit + $scroll_left_by_window + $scroll_right_by_unit + $scroll_right_by_window + $scroll_to_bottom + $scroll_to_left + $scroll_to_right + $scroll_to_top + $scroll_up_by_unit + $scroll_up_by_window + $scroll_vt + $scrolls_visible + $select + $select_active_window + $send_ipc + $session_window_active + $set_action_bar_bgd_color + $set_action_bar_fgd_color + $set_active_color + $set_active_window + $set_additional_workspaces + $set_auto_pop + $set_auto_refresh + $set_auto_resize_palette + $set_autosave + $set_base_window + $set_bgd_color + $set_border_width + $set_cmd_line_font + $set_cursor_colors + $set_cursor_shape + $set_default_method + $set_default_notepad_font + $set_default_position + $set_double_click_distance + $set_double_click_interval + $set_fgd_color + $set_focus_follows_mouse + $set_focus_follows_mouse_delay + $set_form_gadget_value + $set_form_position + $set_frame_width + $set_graphic_device + $set_invert_text_color_on_highlight + $set_ipc_port + $set_ipc_wakeup_interval + $set_left_justify_palette_text + $set_list_gadget_double_click_action + $set_menu_rollover_color + $set_message_font_resize + $set_message_lines + $set_message_popup + $set_message_reply + $set_message_transcript + $set_palette_width + $set_pattern + $set_prompt_fonts + $set_sidetab_color + $set_softkey_bgd_color + $set_softkey_fgd_color + $set_softkey_font + $set_tabbed_workspace_trim_right + $set_text_cursor_blink + $set_transcript_output + $set_window_border_width + $set_window_frame_width + $set_working_directory + setup_registered_commands + $show_all_dockables + $show_command_shell + $show_dockable + $show_location_map + $show_menu_bar + $show_message_area + $show_palette + $show_palette_scrolls + $show_parent_palette + $show_scrolls + $show_softkey_labels + $show_softkeys + $show_sub_palette + $show_toolbar_icons + $show_toolbar_text + $show_top_palette + $show_transcript + $show_window_title + $show_workspace_tab + $softkey_bgd_color + $softkey_fgd_color + $softkey_font + $softkeys_visible + $source + $start_stroke + $start_stroke_location + $start_timer + $stop + $stop_stroke + $stop_stroke_location + $stop_timer + $stroke_extent + $stroke_identity + $stroke_ + $target_name + $title_visible + $toolbar_action_selector_item + $toolbar_add_item + $toolbar_associative_item + $toolbar_combo_box_item + $toolbar_insert_item + $toolbar_label_item + $toolbar_n_state_item + $toolbar_registered_item + $toolbar_remove_item + $toolbar_separator_item + $toolbar_text_field_item + $toolbar_text_icon_item + $top_y + $transcript_visible + $ui_message_ask_yes_no + $ui_message_fail + $update_menu_bar + $update_palette + $update_softkey_labels + $update_toolbars + $use_animations + $user_init + $user_pre_init + $user_window_init + $using_animations + $version + $wait + $window_class_name + $window_extent + $window_init + $window_interior_extent + $window_scope_name + $window_visible + $writeln + + + $add_back_annotation + $add_multiple_properties + $add_parameter + $add_primitive + $add_property + $add_substitute + $add_visible_property + $change_model + $change_property + $check_design + $clear_global_parameter + $close_design_viewpoint + $connect_back_annotation + $delete_invalid_entries + $delete_parameter + $delete_primitive + $delete_property + $delete_substitute + $delete_visible_property + $disconnect_back_annotation + $erc_check + $export_back_annotation + $export_design_configuration + $filter_property_check + $get_viewpoint_name + $import_back_annotation + $is_ba_readonly + $is_function_defined + $latch_using_label + $latch_version + $maintain_back_annotation_window + $open_back_annotation + $open_design_configuration + $open_design_viewpoint + $preset_global_parameter + $reload_model + $report_select_counts + $report_viewpoint_references + $save_design_viewpoint + $select_back_annotation + $select_design_configuration + $select_parameter + $select_primitive + $select_substitute + $select_visible_property + $unlatch_version + $unselect_back_annotation + $unselect_design_configuration + $unselect_parameter + $unselect_primitive + $unselect_substitute + $unselect_visible_property + $update_latched_version + + + + $add_bundle + $add_bundle_members + $classify_all_genlib + $classify_symbol + $close_window + $delete_bundle + $delete_bundle_members + $delete_parameter + $generate + $get_bundle + $get_bundle_list + $get_bundle_members + $get_components + $get_instances + $get_nets + $get_parameter + $get_partition_setup + $get_property_list + $get_property_setup + $get_schematics + $get_sheets + $get_symbols + $get_symbol_classification + $get_symbol_setup + $open_component_from_model + $open_component_from_tfnf + $open_design_from_viewpoint + $report_bundle_members + $report_design_info + $report_property_setup + $report_setup + $report_status_bundles + $report_symbol_classifications + $save + $save_as + $set_parameter + $set_symbol_transcript + $setup_partition + $setup_placement + $setup_property + $setup_symbol_generation + $view_all + $view_sheet + $view_symbol + $zoom_in + $zoom_out + $set_balance + $set_bundle_mode + $set_bus_partition + $set_cluster + $set_cluster_spacing + $set_connector_spacing + $set_ground_placement + $set_instance_spacing + $set_iterate + $set_lrflow + $set_net_name_prefix + $set_net_spacing + $set_partition_by_name + $set_partition_by_property + $set_partition_density + $set_partition_mode + $set_partition_sheet_count + $set_partition_sheet_size + $set_placement_technique + $set_power_placement + + + + $add_bus + $add_comment_flag + $add_group + $add_synonym + $clear_name + $clear_selection_filter + $close_all_windows + $close_selection + $delete_bus + $delete_comment_flag + $delete_group + $delete_synonym + $end_object_selection + $end_object_unselection + $freeze_window + $get_all_instance_properties + $get_all_net_properties + $get_all_pin_properties + $get_all_types + $get_average_connected_pin + $get_average_primitive_instance + $get_average_selected + $get_best_case + $get_bottom_visible + $get_bundle_names + $get_bus_parts + $get_bus_width + $get_buses + $get_comment_flags + $get_component_path + $get_connected + $get_connected_instance + $get_connected_net + $get_connected_net_name + $get_connected_pin + $get_contained + $get_default_monitor_flag_font + $get_default_monitor_flag_height + $get_effective_context + $get_equivalent_nets + $get_full_pathname + $get_groups + $get_highlighted + $get_instance_property + $get_instance_viewed + $get_last_created_window + $get_maximum_connected_pin + $get_maximum_primitive_instance + $get_maximum_selected + $get_minimum_connected_pin + $get_minimum_primitive_instance + $get_minimum_selected + $get_monitor_flag_font + $get_monitor_flag_height + $get_naming_context + $get_nearest_instance + $get_nearest_net + $get_nearest_pin + $get_nearest_property_and_owner + $get_nearest_vertex + $get_net_property + $get_pin_property + $get_protected + $get_select_count + $get_selected + $get_selected_types + $get_selection_filter + $get_sheetname_viewed + $get_source_type + $get_sum_connected_pin + $get_sum_primitive_instance + $get_sum_selected + $get_synonyms + $get_top_visible + $get_total_width_of + $get_triplet_mode + $get_typical + $get_undo_depth + $get_view_window_names + $get_window_names + $get_window_titles + $get_window_type + $get_worst_case + $goto_highlight + $highlight_instance + $highlight_net + $highlight_pin + $instance_annotated_property + $instance_exists + $instance_has_annotations + $instance_source_property + $invalidate_window + $is_window_valid + $net_annotated_property + $net_exists + $net_has_annotations + $net_source_property + $open_down + $open_selected + $open_sheet + $open_up + $pin_annotated_property + $pin_exists + $pin_has_annotations + $pin_source_property + $primitive_instance + $primitive_net + $primitive_pin + $protect + $redirect_to_all_windows + $redo + $reopen_selection + $report_buses + $report_groups + $report_highlighted + $report_interfaces + $report_naming_context + $report_objects + $report_parts_list + $report_protected + $report_synonyms + $report_wire_list + $reselect + $select_by_name + $select_by_property + $select_connected + $select_contained + $select_group + $select_messages + $select_statement + $select_whole_window + $selection_closed + $selection_filter_active + $send_to_active_window + $set_auto_locate + $set_default_method + $set_default_monitor_flag_font + $set_default_monitor_flag_height + $set_effective_context + $set_monitor_flag_font + $set_monitor_flag_height + $set_naming_context + $set_selection_filter + $set_triplet_mode + $set_undo_depth + $setup_icon + $setup_icon_font + $setup_name + $setup_window_location + $undo + $unfreeze_window + $unhighlight_all + $unhighlight_instance + $unhighlight_net + $unhighlight_pin + $unprotect + $unselect_all + $unselect_by_name + $unselect_by_property + $unselect_except_by_property + $unselect_except_group + $unselect_group + $unselect_statement + $unselect_whole_window + $update_window + $write_report + + + __da_hdl_arch_name + __da_hdl_entity_name + __da_hdl_lib_name + __da_hdl_libraries + __da_hdl_packages + __da_hdl_port_init + __da_hdl_port_mode + __da_hdl_port_type + __da_hdl_signal_type + __da_suppress_units + Addr_width + Afall, Bfall, Qfall, Qbfall, Tfall, Tbfall + Area + Arise, Brise, Qrise, Qbrise, Trise, Tbrise + Asim_coupling + Asim_param + Asim_pinorder + Asim_model + Asim_model Property in Element Statements + Asim_model_keyword + Attribute_file_required + Basic_container + Block_dir + Brd_loc + Bres_value + Buildmode + Bundle_cover_thickness + Bundle_diameter + Bundle_height + Bundle_length + Bundle_min_bend_radius + Bundle_type + Bundle_width + Cap_coupled + Cap_drive + Cap_drive1 + Cap_drive2 + Cap_net + Cap_nets_coupled + Cap_pin + Class + Cntm_bdry_model + Comp + $Compaction-group + Component_type + $Con-edge + $Con-obj + Conn_order + Conn_type + Contention + Convect_h + Convect_rank + Corr_formula + Corr_formula_rad + Cover_thickness + Cpl_cap_net + Creating_tool + Current_drive + Current_load + Data_width + DCinit + Ddms_versioned_object + Decay + Dec_cap + Default_plot_typ + Default_tool + Diff_pair + Dme_config_ignore_type + Dme_config_include_container + Do_not_jog + dont_delete + dont_shrink + Drive + Dtime + Dual_footprint + Elec_class + Element + Emissivity + Except_assy + Fall + Fall_adj + Fall_slope + Fileset_def + First_addr + Fpin_no_sci + Frexp + From_location_map + From_path + From_version + Func + $G + $Gg + Gate_class + Gate_id + Gatemode + Gdsii_cell + Gdsii_datatype + Gdsii_error + Gdsii_no_output + Gdsii_plex + Gdsii_property_# + Gdsii_text + Requirements for DRACULA II + Gdsii_textinfo + Geom + Global + Globalin + Globalinout + Global_net_cap + Globalout + Group_abut + Group_name + Group_place + Group_prio + Group_seed + Icap_net + Ignore_net + Iih + Iil + Init + Initial_Condition + Ink_id + Input_data + Inst + Instpar + Instpartol + Ioh + Ioh1, Ioh2 + Iol + Iol1, Iol2 + Iozh + Iozl + Junction_max_t + Key_file + Kfall + Krise + Large_icon + Laser + Lms_cat + Lms_component_path + Lms_geometries + Lms_index + Lms_symbols + Load + M + Mass_density + Master_pin + Match_group + Matched_net_length + Mating_connector + Max_addr + Max_cap_pin + Max_stub + Max_wire_length + Mfg + Mgc_cat + Mgc_comps + Mgc_component_path + Mgc_geoms + Mgc_index + Mgc_lib + Mgc_map_path + Mgc_models + Mgc_prop_file_index + Mgc_prop_file_path + Mgc_refine_level + Mgc_symbols + Min_node + Min_stub + Min_wire_length + Model + Modelfile + Modeltype + Ms_* + Multi_assay + Must_jog + My_net + N + Net + Net_comp + Net_comp_count + Net_comp_place + Netdelay + Spike Model Data + Example + Net_length + Net_order + Net_prio + Net_prio_protected + Net_tp_min_clearance + Net_tp_req + Net_type + Nmos_bulk_node + Node + Nodeset + Nofault + Note + Npn_sub_node + Num_blocks + Omit_wire_list + Part_no + Pcb_group + Pcb_ignore + Pcb_inst + Pcb_net + Pcb_pin + Pcb_pin_loc + Pcb_pin_pad + Phy_comp + Phy_pin + Pin + Pin_driver + Pin_gauge + Pin_group + Pin_no + Pin_order + Pin_swap + Pin_tp_req + Pins + Pins_out + Pins_spare + Pintech + Pintype + Pintype_override + Place + Placement_net_cap + Placement_region + Pmos_bulk_node + Pnp_sub_node + $Pn_ext + Portdir + Porttype + Pow_del_max + Pow_del_typ + Pow_derating + Pow_den + Pow_max + Pow_max_symb + Pow_min + Pow_typ + Pow_typ_symb + Power_nets + Power_pins + Power_route_style + Pwr + Prio + Process_var + R + Radiate_h + Rc_feedthrough + Ref + Ref_group + Refloc + Rel_preplacement + Release_comments + Release_date + Release_date_string + Released_by + Released_location_map + Released_to_path + Res_net + Res_path + Res_source + Restrict + R_hat_len + R_hat_width + Rise + Rise_adj + Rise_slope + R_len + R_min_dim + Rn_sub_node + Route_set + Rp_sub_node + R_shape + R_width + Rule + S_des + Seed + Shape + Shared + Side_pref + Size + Small_icon + Source + Source_edit_allowed + Spec_heat + Spicepar + Ss_num + Stub_dir + Substrate + Surface + Surface_area + Swapping + Swap_set + Syn_donttouch + Syn_dontuse + Syn_libname + Target_net_cap + Tech + Tegas5_lib + Temp + Terminator + Therm_cond + Therm_jc + Therm_r + _tid + Timefile + Toler + Tool_reference + Tphz, Tplz, Tpzh, Tpzl + Trace_shielding + Transmode + Trim + Undriven + Value + Variant + Version_depth + Vhdl_type + Vih + Vil + Voh + Voh1, Voh2 + Vol + Vol1, Vol2 + Voltage + Vtype + Wire_auto_calc_length + Zif_model + Zycad_type + + + + $$add_configuration_entry + $add_configuration_entry + $$add_container + $add_container + $$add_directory + $add_directory + $add_link + $add_object_property + $$add_reference + $add_reference + $add_reference_property + $add_toolbox + $$add_type + $add_versions + $browse_for_object + $$build_configuration + $build_configuration + $$change_configuration_references + $change_configuration_references + $$change_design_object_references + $change_design_object_references + $change_link_text + $change_location_map_entry + $$change_object_name + $change_object_name + $change_object_property + $$change_object_references + $change_object_references + $change_password + $change_protection + $change_reference_property + $change_reference_state + $change_version_depth + $check_references + $check_registries + $$clear_entry_filter + $$clear_global_status + $$clear_monitor + $$close_configuration + $close_hierarchy + $$close_versioned_object + $close_window + $$convert_configuration_references + $convert_configuration_references + $$convert_object_references + $convert_object_references + $$copy_configuration + $copy_configuration + $$copy_design_object + $copy_design_object + $$copy_object + $copy_object + $copy_version + $$create_configuration + $create_dm_category + $create_dm_cell + $create_dm_ext_lib + $create_dm_library + $create_dm_project + $create_dm_tech_category + $create_dm_tech_lib + $create_tech_config_object + $$create_versioned_object + $$delete_configuration + $delete_configuration + $delete_design_object + $delete_excess_versions + $$delete_object + $delete_object + $$delete_object_property + $delete_object_property + $$delete_reference + $delete_reference + $$delete_reference_handle + $$delete_reference_property + $delete_reference_property + $$delete_reference_property_handle + $$delete_version + $delete_version + $$delete_version_property + $descend_hierarchy_one_level + $descend_hierarchy_specify_level + $$duplicate_object + $edit_file + $empty_trash + $explore_contents + $explore_parent + $explore_reference_parent + $explore_references + $export_configuration_entries + $export_library + $export_location_map + $find_external_deps + $find_references + $$fix_relative_path + $$freeze_configuration + $freeze_configuration + $$freeze_version + $freeze_version + $get_area_selected_objects + $$get_children + $$get_configuration_entries + $$get_configuration_path + $$get_container_contents + $$get_date_last_modified + $get_default_tool + $$get_entry_version + $$get_fileset_members + $$get_hard_name + $$get_location_map + $$get_monitor_error_count + $$get_monitor_flag + $$get_monitor_verbosity + $$get_monitor_warning_count + $get_navigator_directory + $get_navigator_directory_hard + $get_next_tool_env + $$get_object_current_version + $$get_object_parent_path + $$get_object_path_filter + $get_object_pathname + $$get_object_properties + $$get_object_property_filter + $$get_object_property_value + $$get_object_protection + $$get_object_references + $$get_object_type + $get_object_type + $$get_object_type_filter + $get_object_version + $$get_object_versions + $$get_parent_entry + $$get_primaries + $$get_reference_properties + $$get_reference_properties_handle + $$get_reference_property_filter + $$get_reference_traversal + $$get_secondaries + $$get_soft_name + $$get_status_code + $$get_status_code_stack + $$get_status_messages + $get_subinvoke_mode + $$get_target_path + $get_technology + $get_toolbox_search_path + $get_tool_pathname + $get_tool_script + $get_tool_type + $$get_type_properties + $$get_type_property_value + $$get_version_depth + $$get_version_properties + $$get_working_directory + $goto_directory + $$handle_map_error + $$has_object_property + $$has_reference_property + $$has_reference_property_handle + $hide_secondary_entries + $hide_monitor + $import_classic_data + $import_custom_view + $import_design_kit + $import_ext_lib + $import_icstudio_library + $import_icstudio_project + $include_external_library + $invoke_bgd_tool + $invoke_tool + $$is_build_consistent + $$is_build_valid + $$is_configuration_edited + $$is_configuration_frozen + $$is_configuration_locked + $$is_container + $$is_directory + $$is_entry_container + $$is_entry_fixed + $$is_entry_primary + $$is_entry_retargetable + $$is_object_released + $$is_object_versioned + $$is_read_protected + $$is_relative_path + $$is_type_versioned + $$is_writable + $$is_write_protected + $list_references + $load_registry + $$lock_configuration + $lock_configuration + $$lock_object + $login_admin + $logged_in + $logout_admin + $maintain_hierarchy + $$monitor_global_status + $$move_design_object + $move_design_object + $$move_object + $move_object + $$object_complete + $$object_exists + $$open_configuration + $open_configuration_window + $$open_hierarchy + $open_navigator + $open_object + $open_read_only_editor + $open_session_monitor + $$open_tool + $open_tool + $open_tools_window + $open_trash_window + $open_types_window + $$open_versioned_object + $$prune_design_hierarchy + $$read_map + $read_map + $refresh_all + $$release_configuration + $release_configuration + $$release_object + $release_object + $$remove_configuration_entry + $remove_configuration_entry + $remove_external_library + $remove_toolbox + $report_configuration_info + $$report_configuration_references + $report_configuration_references + $report_entry_info + $$report_entry_verification + $report_entry_verification + $$report_global_status + $report_object_info + $report_reference_info + $report_tool_info + $report_type_info + $report_version_info + $$resolve_path + $$revert_version + $revert_version + $$salvage_object + $salvage_object + $$save_configuration + $save_configuration + $$save_configuration_as + $save_configuration_as + $$save_object + $save_toolbox_search_path + $search + $search_again + $select_all + $select_by_name + $select_by_library + $select_by_type + $select_config_entry + $select_object + $select_reference + $select_tool + $select_toolbox + $select_trash_object + $select_version + $set_build_rules + $$set_location_map_entry + $$set_monitor_flag + $$set_monitor_verbosity + $set_next_tool_env + $$set_object_path_filter + $$set_object_property + $$set_object_property_filter + $$set_object_type_filter + $set_project_refresh_heartbeat + $$set_protection + $$set_protection_numeric + $$set_reference_property + $$set_reference_property_filter + $$set_reference_property_handle + $$set_reference_traversal + $set_subinvoke_mode + $$set_target_path + $set_target_path + $set_technology + $set_toolbox_search_path + $$set_version_depth + $$set_version_property + $$set_working_directory + $set_working_directory + $setup_filter_active + $setup_filter_all + $setup_default_editor + $setup_iconic_window_layout + $setup_invoke_tool + $$setup_monitor + $setup_monitor + $setup_session_defaults + $setup_startup_windows + $show_all_files + $show_compiled_libs + $show_component_hierarchy + $show_custom_views + $show_directories + $show_ext_libs + $show_language_views + $show_layout_views + $$show_location_map + $show_location_map + $show_logic_views + $show_references + $show_monitor + $show_tech_libs + $show_versions + $trash_object + $$unfreeze_configuration + $unfreeze_configuration + $$unfreeze_version + $unfreeze_version + $$unlock_configuration + $unlock_configuration + $$unlock_object + $unselect_all + $unselect_by_name + $unselect_by_type + $unselect_config_entry + $unselect_object + $unselect_reference + $unselect_tool + $unselect_toolbox + $unselect_trash_object + $unselect_version + $unset_next_tool_env + $untrash_object + $$update_type + $update_window + $validate_technology + $view_by_icon + $view_by_name + $view_containment_hierarchy + $view_primary_hierarchy + $view_secondary_entries + $view_toolboxes + $view_tools + $write_default_startup_file + $$writeln_monitor + + + $get_current_obj_hier_path + $get_current_obj_inst_list + $idw_dh_setup_display + $idw_report_hier + $idw_open_hierarchy_window + $inst_area_extend_selection + $inst_area_select_all_items + $inst_area_select_item + $inst_area_show_instances + $inst_area_unselect_all_items + $make_obj_current + $open_new_comp_hierarchy + $open_new_hierarchy + $select_obj + $show_instance + $show_n_levels + $set_font + $setup_comp_hierarchy_display + $setup_hierarchy_selection + $write_default_startup_file + $add_components + $add_labels_to_models + $collapse_object + $delete_labels_from_models + $delete_part_interfaces + $expand_object + $forget_components_edits + $hide_body_props + $hide_labels + $hide_model + $hide_pin_properties + $hide_pins + $register_models + $remove_components + $rename_part_interface + $report_body_prop_info + $report_component_info + $report_model_entry_info + $report_models_for_each_label + $report_model_info + $report_models_with_all_labels + $report_pin_info + $save_components_edits + $select_model_object + $select_object + $set_bgd_color + $set_bgd_color_title_items + $set_bgd_color_titles + $set_constraints + $set_default_part_interface + $set_fgd_color + $set_fgd_color_title_items + $set_fgd_color_titles + $set_font + $set_part_interface_font + $show_body_props + $show_labels + $show_model + $show_pins + $show_pin_properties + $unselect_model_object + $unselect_object + $validate_models + + + quick_help + ref_help + + + + optional + default + + + + + name + callable + enum + integer + location + name + number + pathname + polylocation + polyrectangle + real + rectangle + status + string + vector + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/asm-dsp56k.xml b/kate/data/asm-dsp56k.xml new file mode 100644 index 000000000..e1640d992 --- /dev/null +++ b/kate/data/asm-dsp56k.xml @@ -0,0 +1,329 @@ + + + + + + + + x + x0 + x1 + y + y0 + y1 + a2 + a1 + a0 + a + a10 + ab + b2 + b1 + b0 + b + b10 + ba + + + + r0 + r1 + r2 + r3 + r4 + r5 + r6 + r7 + + + + n0 + n1 + n2 + n3 + n4 + n5 + n6 + n7 + + + + m0 + m1 + m2 + m3 + m4 + m5 + m6 + m7 + + + + la + lc + pc + ssh + ssl + omr + sr + sp + mr + ccr + + + + abs + adc + add + addl + addr + and + andi + asl + asr + bchg + bclr + bset + btst + clr + cmp + cmpm + div + do + enddo + eor + illegal + jcc + jhs + jcs + jls + jec + jeq + jes + jge + jgt + jlc + jle + jls + jlt + jmi + jne + jnr + jpl + jnn + jclr + jmp + jscc + jshs + jscs + jsls + jsec + jseq + jses + jsge + jsgt + jslc + jsle + jsls + jslt + jsmi + jsne + jsnr + jspl + jsnn + jsclr + jset + jsr + jsset + lsl + lsr + lua + mac + macr + move + movec + movem + movep + mpy + mpyr + neg + nop + norm + not + or + ori + rep + reset + rnd + rol + ror + rti + rts + sbc + stop + sub + subl + subr + swi + tcc + ths + tcs + tls + tec + teq + tes + tge + tgt + tlc + tle + tls + tlt + tmi + tne + tnr + tpl + snn + tfr + tst + wait + + + + endif + endc + else + ifne + if + ifeq + ifle + iflt + ifge + ifgt + include + incbin + printval + pass1val + pass2val + fail + endm + end + org + ds + dsm + list + nolist + macro + dc + equ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/asm-m68k.xml b/kate/data/asm-m68k.xml new file mode 100644 index 000000000..f7611c8a8 --- /dev/null +++ b/kate/data/asm-m68k.xml @@ -0,0 +1,651 @@ + + + + + + + + d0 + d1 + d2 + d3 + d4 + d5 + d6 + d7 + + + + a0 + a1 + a2 + a3 + a4 + a5 + a6 + a7 + sp + + + + ccr + sr + pc + zpc + ssp + usp + msp + isp + dfc + cacr + caar + vbr + crp + srp + urp + tc + tt0 + tt1 + mmusr + itt0 + itt1 + dtt0 + dtt1 + buscr + pcr + ic + + bc + + + + fp0 + fp1 + fp2 + fp3 + fp4 + fp5 + fp6 + fp7 + fpcr> + fpsr + fpiar + + + + abcd + adda + addi + add + addq + addx + andi + and + asl + asr + bcc + bchg + bclr + bcs + beq + bfchg + bfclr + bfexts + bfextu + bfffo + bfins + bfset + bftst + bge + bgt + bhi + bhs + bkpt + ble + blo + bls + blt + bmi + bne + bpl + bra + bset + bsr + btst + bvc + bvs + callm + cas2 + cas + chk2 + chk + cinva + cinvl + cinvp + clr + cmp2 + cmpa + cmpi + cmp + cmpm + cpusha + cpushl + cpushp + dbcc + dbcs + dbeq + dbf + dbge + dbgt + dbhi + dbhs + dble + dblo + dbls + dblt + dbmi + dbne + dbpl + dbra + dbt + dbvc + dbvc + divs + divsl + divu + divul + eori + eor + exg + extb + ext + illegal + jmp + jsr + lea + link + lpstop + lsl + lsr + move16 + movea + movec + move + movem + movep + moveq + moves + muls + mulu + nbcd + neg + negx + nop + not + ori + or + pack + pea + pflusha + pflushan + pflush + pflushn + ploadr + ploadw + plpa + pmovefd + pmove + ptestr + ptestw + reset + rol + ror + roxl + roxr + rtd + rte + rtm + rtr + rts + sbcd + scc + scs + seq + sf + sge + sgt + shi + shs + sle + slo + sls + slt + smi + sne + spl + st + stop + suba + subi + sub + subq + subx + svc + svc + swap + tas + trapcc + trapcs + trapeq + trapf + trapge + trapgt + traphi + traphs + trap + traple + traplo + trapls + traplt + trapmi + trapne + trappl + trapt + trapvc + trapvc + trapv + tst + unlk + unpk + + + + fabs + facos + fadd + fasin + fatanh + fatan + fbeq + fbf + fbge + fbgle + fbgl + fbgt + fble + fblt + fbne + fbnge + fbngle + fbngl + fbngt + fbnle + fbnlt + fboge + fbogl + fbogt + fbole + fbolt + fbor + fbseq + fbsf + fbsne + fbst + fbt + fbueq + fbuge + fbugt + fbule + fbult + fbun + fcmp + fcosh + fcos + fdabs + fdadd + fdbeq + fdbf + fdbge + fdbgle + fdbgl + fdbgt + fdble + fdblt + fdbne + fdbnge + fdbngle + fdbngl + fdbngt + fdbnle + fdbnlt + fdboge + fdbogl + fdbogt + fdbole + fdbolt + fdbor + fdbseq + fdbsf + fdbsne + fdbst + fdbt + fdbueq + fdbuge + fdbugt + fdbule + fdbult + fdbun + fddiv + fdiv + fdmove + fdmul + fdneg + fdsqrt + fdsub + fetox + fetoxm1 + fgetexp + fgetman + fint + fintrz + flog10 + flog2 + flogn + flognp1 + fmod + fmovecr + fmove + fmovem + fmul + fneg + fnop + frem + frestore + fsabs + fsadd + fsave + fscale + fsdiv + fseq + fsf + fsge + fsgldiv + fsgle + fsgl + fsglmul + fsgt + fsincos + fsinh + fsin + fsle + fslt + fsmove + fsmul + fsneg + fsne + fsnge + fsngle + fsngl + fsngt + fsnle + fsnlt + fsoge + fsogl + fsogt + fsole + fsolt + fsor + fsqrt + fsseq + fssf + fssne + fssqrt + fsst + fssub + fst + fsub + fsueq + fsuge + fsugt + fsule + fsult + fsun + ftanh + ftan + ftentox + ftrapeq + ftrapf + ftrapge + ftrapgle + ftrapgl + ftrapgt + ftraple + ftraplt + ftrapne + ftrapnge + ftrapngle + ftrapngl + ftrapngt + ftrapnle + ftrapnlt + ftrapoge + ftrapogl + ftrapogt + ftrapole + ftrapolt + ftrapor + ftrapseq + ftrapsf + ftrapsne + ftrapst + ftrapt + ftrapueq + ftrapuge + ftrapugt + ftrapule + ftrapult + ftrapun + ftst + ftwotox + + + + align + blk + bss + clrfo + clrso + cnop + code + cseg + data + dc + dcb + ds + dseg + else + end + endc + endif + endm + endr + equ + even + fo + idnt + if + ifeq + ifne + ifgt + ifge + iflt + ifle + ifd + ifnd + ifc + ifnc + incbin + incdir + include + macro + org + public + rept + rs + rsreset + rsset + section + set + setfo + setso + so + text + ttl + xdef + xref + sdreg + cpu32 + far + fpu + machine + mc68000 + mc68010 + mc68020 + mc68030 + mc68040 + mc68060 + mcf5200 + mcf5206 + mcf5307 + mcf5407 + near + opt + equr + equrl + fequr + fequrl + freg + reg + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/asp.xml b/kate/data/asp.xml index 1aa4f92d7..3a912b975 100644 --- a/kate/data/asp.xml +++ b/kate/data/asp.xml @@ -1,6 +1,6 @@ - + select @@ -271,7 +271,7 @@ - + diff --git a/kate/data/awk.xml b/kate/data/awk.xml index 0f16ca4cc..f0fcd3f0b 100644 --- a/kate/data/awk.xml +++ b/kate/data/awk.xml @@ -1,6 +1,6 @@ - + if @@ -67,7 +67,6 @@ - diff --git a/kate/data/bash.xml b/kate/data/bash.xml index 2c51c6f5f..84c91596d 100644 --- a/kate/data/bash.xml +++ b/kate/data/bash.xml @@ -8,7 +8,7 @@ ]> - + - + diff --git a/kate/data/bibtex.xml b/kate/data/bibtex.xml index 5ee9436b8..e167c270e 100644 --- a/kate/data/bibtex.xml +++ b/kate/data/bibtex.xml @@ -1,6 +1,12 @@ - - + + + + + ]> + @article @@ -26,49 +32,75 @@ @techreport @unpublished @www - - - @string - @preamble - @comment + @person + @company + @place - - + - - - - - + + + + + + + + + + + + + + + + + + + - - - - - - + + + + + + + + + + + + + + - - + + + + + - - - + + + + + - - - - - - - + + + + + + + + + diff --git a/kate/data/bmethod.xml b/kate/data/bmethod.xml index e74aa3c77..a852390dd 100644 --- a/kate/data/bmethod.xml +++ b/kate/data/bmethod.xml @@ -1,6 +1,6 @@ - + @@ -77,12 +77,12 @@ - - - + + + - - + + diff --git a/kate/data/c.xml b/kate/data/c.xml index ee0e90fa8..0389f7849 100644 --- a/kate/data/c.xml +++ b/kate/data/c.xml @@ -1,7 +1,7 @@ - + @@ -169,23 +169,23 @@ - - - - - - - - + + + + + + + + - - - - - - + + + + + + diff --git a/kate/data/clojure.xml b/kate/data/clojure.xml new file mode 100644 index 000000000..dfacdd5b3 --- /dev/null +++ b/kate/data/clojure.xml @@ -0,0 +1,748 @@ + + + + + + + def + def- + defalias + defhinted + definline + defmacro + defmacro- + defmethod + defmulti + defn + defn- + defnk + defn-memo + defonce + defonce- + defprotocol + defrecord + defstruct + defstruct- + deftest + deftest- + deftype + defunbound + defunbound- + defvar + defvar- + + + < + <= + = + == + > + >= + - + -> + ->> + / + . + .. + * + + + accessor + aclone + add-classpath + add-watcher + agent + agent-errors + aget + alength + alias + all-ns + alter + alter-meta! + alter-var-root + amap + ancestors + and + append-child + apply + apply-template + are + areduce + array-map + aset + aset-boolean + aset-byte + aset-char + aset-double + aset-float + aset-int + aset-long + aset-short + assert + assert-any + assert-expr + assert-predicate + assoc + assoc! + associative? + assoc-in + atom + atom? + attrs + await + await1 + await-for + bases + bean + bigdec + bigint + binding + bit-and + bit-and-not + bit-clear + bit-flip + bit-not + bit-or + bit-set + bit-shift-left + bit-shift-right + bit-test + bit-xor + boolean + boolean-array + booleans + bound-fn + bound-fn* + branch? + butlast + byte + byte-array + bytes + case + cast + catch + char + char? + char-array + char-escape-string + char-name-string + chars + children + chunk + chunk-append + chunk-buffer + chunk-cons + chunked-seq? + chunk-first + chunk-next + chunk-rest + class + class? + clear-agent-errors + clojure-version + coll? + collection-tag + comment + commute + comp + comparator + compare + compare-and-set! + compile + complement + compose-fixtures + concat + cond + condp + conj + conj! + cons + constantly + construct-proxy + contains? + content + content-handler + count + counted? + create-ns + create-struct + cycle + dec + decimal? + declare + delay + delay? + deliver + deref + derive + descendants + destructure + difference + disj + disj! + dissoc + dissoc! + distinct + distinct? + do + doall + doc + dorun + doseq + dosync + do-template + dotimes + doto + double + double-array + doubles + down + drop + drop-last + drop-while + e + edit + element + emit + emit-element + empty + empty? + end? + ensure + enumeration-seq + eval + even? + every? + extend + extenders + extend-protocol + extends? + extend-type + false? + ffirst + file-position + file-seq + filter + finally + find + find-doc + find-ns + find-var + first + float + float? + float-array + floats + flush + fn + fn? + fnext + for + force + format + function? + future + future? + future-call + future-cancel + future-cancelled? + future-done? + gen-and-load-class + gen-and-save-class + gen-class + gen-interface + gensym + get + get-child + get-child-count + get-in + get-method + get-possibly-unbound-var + get-proxy-class + get-thread-bindings + get-validator + handle + handler-case + hash + hash-map + hash-set + identical? + identity + if + if-let + ifn? + if-not + import + inc + inc-report-counter + index + init-proxy + in-ns + insert-child + insert-left + insert-right + inspect + inspect-table + inspect-tree + instance? + int + int-array + integer? + interleave + intern + interpose + intersection + into + into-array + ints + io! + is + isa? + is-leaf + iterate + iterator-seq + join + join-fixtures + juxt + key + keys + keyword + keyword? + keywordize-keys + last + lazy-cat + lazy-seq + left + leftmost + lefts + let + letfn + line-seq + list + list* + list? + list-model + list-provider + load + loaded-libs + load-file + load-reader + load-script + load-string + locking + long + long-array + longs + loop + macroexpand + macroexpand-1 + macroexpand-all + main + make-array + make-hierarchy + make-node + map + map? + mapcat + map-invert + max + max-key + memfn + memoize + merge + merge-with + meta + methods + method-sig + min + min-key + mod + name + namespace + neg? + newline + next + nfirst + nil? + nnext + node + not + not= + not-any? + not-empty + not-every? + ns + ns-aliases + ns-imports + ns-interns + ns-map + ns-name + ns-publics + ns-refers + ns-resolve + ns-unalias + ns-unmap + nth + nthnext + num + number? + odd? + or + parents + partial + partition + path + pcalls + peek + persistent! + pmap + pop + pop! + pop-thread-bindings + pos? + postwalk + postwalk-demo + postwalk-replace + pr + prefer-method + prefers + prev + prewalk + prewalk-demo + prewalk-replace + primitives-classnames + print + print-cause-trace + print-ctor + print-doc + print-dup + printf + println + println-str + print-method + print-namespace-doc + print-simple + print-special-doc + print-stack-trace + print-str + print-throwable + print-trace-element + prn + prn-str + project + promise + proxy + proxy-call-with-super + proxy-mappings + proxy-name + proxy-super + pr-str + push-thread-bindings + pvalues + quot + rand + rand-int + range + ratio? + rational? + rationalize + read + read-line + read-string + recur + reduce + ref + refer + refer-clojure + ref-history-count + re-find + ref-max-history + ref-min-history + ref-set + re-groups + reify + release-pending-sends + rem + re-matcher + re-matches + remove + remove-method + remove-ns + remove-watcher + rename + rename-keys + re-pattern + repeat + repeatedly + repl + replace + repl-caught + repl-exception + replicate + repl-prompt + repl-read + report + require + re-seq + reset! + reset-meta! + resolve + rest + resultset-seq + reverse + reversible? + right + rightmost + rights + root + rseq + rsubseq + run-all-tests + run-tests + satisfies? + second + select + select-keys + send + send-off + seq + seq? + seque + sequence + sequential? + seq-zip + set + set? + set-test + set-validator! + short + short-array + shorts + shutdown-agents + skip-if-eol + skip-whitespace + slurp + some + sort + sort-by + sorted? + sorted-map + sorted-map-by + sorted-set + sorted-set-by + special-form-anchor + special-symbol? + split-at + split-with + str + stream? + string? + stringify-keys + struct + struct-map + subs + subseq + subvec + successful? + supers + swap! + symbol + symbol? + sync + syntax-symbol-anchor + take + take-last + take-nth + take-while + test + test-all-vars + testing + testing-contexts-str + testing-vars-str + test-ns + test-var + the-ns + throw + time + to-array + to-array-2d + trampoline + transient + tree-seq + true? + try + try-expr + type + unchecked-add + unchecked-dec + unchecked-divide + unchecked-inc + unchecked-multiply + unchecked-negate + unchecked-remainder + unchecked-subtract + underive + unimport + union + unquote + unquote-splicing + up + update-in + update-proxy + use + use-fixtures + val + vals + var? + var-get + var-set + vary-meta + vec + vector + vector? + walk + when + when-first + when-let + when-not + while + with-bindings + with-bindings* + with-in-str + with-loading-context + with-local-vars + with-meta + with-open + with-out-str + with-precision + with-test + with-test-out + xml-seq + + + *1 + *2 + *3 + *agent* + *allow-unresolved-vars* + *assert* + *clojure-version* + *command-line-args* + *compile-files* + *compile-path* + *current* + *e + *err* + *file* + *flush-on-newline* + *in* + *initial-report-counters* + *load-tests* + *macro-meta* + *math-context* + *ns* + *out* + *print-dup* + *print-length* + *print-level* + *print-meta* + *print-readably* + *read-eval* + *report-counters* + *sb* + *source-path* + *stack* + *stack-trace-depth* + *state* + *testing-contexts* + *testing-vars* + *test-out* + *use-context-classloader* + *warn-on-reflection* + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/cmake.xml b/kate/data/cmake.xml index 09d729774..9201933a0 100644 --- a/kate/data/cmake.xml +++ b/kate/data/cmake.xml @@ -24,8 +24,8 @@ * Boston, MA 02110-1301, USA. * ********************************************************************** --> - - + + @@ -137,6 +137,7 @@ + A ABSOLUTE AFTER ALL @@ -146,10 +147,11 @@ ARCHIVE ARGS ASCII + AUTHOR_WARNING + B BEFORE BRIEF_DOCS BUNDLE - C CACHE CLEAR CMAKE_FIND_ROOT_PATH_BOTH @@ -165,12 +167,13 @@ COMPONENT COMPONENTS CONFIGS + CONFIGURATION CONFIGURATIONS CONFIGURE COPYONLY COPY_FILE - CXX DEFINED + DEFINITION DEPENDS DESTINATION DIRECTORY @@ -213,6 +216,7 @@ HINTS IMPLICIT_DEPENDS IMPORTED + IN INCLUDE_INTERNALS INHERITED INPUT_FILE @@ -220,6 +224,8 @@ IS_ABSOLUTE IS_DIRECTORY IS_NEWER_THAN + IS_SYMLINK + ITEMS LENGTH LENGTH_MAXIMUM LENGTH_MINIMUM @@ -230,6 +236,7 @@ LIMIT_INPUT LIMIT_OUTPUT LINK_INTERFACE_LIBRARIES + LISTS LOG MACOSX_BUNDLE MAIN_DEPENDENCY @@ -251,12 +258,14 @@ NO_CMAKE_BUILDS_PATH NO_CMAKE_ENVIRONMENT_PATH NO_CMAKE_FIND_ROOT_PATH + NO_CMAKE_PACKAGE_REGISTRY NO_CMAKE_PATH NO_CMAKE_SYSTEM_PATH NO_DEFAULT_PATH NO_HEX_CONVERSION NO_MODULE NO_POLICY_SCOPE + NO_SOURCE_PERMISSIONS NO_SYSTEM_ENVIRONMENT_PATH OFFSET OLD @@ -272,6 +281,7 @@ OWNER_EXECUTE OWNER_READ OWNER_WRITE + PACKAGE PARENT_SCOPE PATH PATHS @@ -289,15 +299,18 @@ PROGRAM PROGRAMS PROGRAM_ARGS + PROJECT_NAME PROPERTIES PROPERTY PUBLIC_HEADER PUSH QUIET RANDOM + RANDOM_SEED RANGE READ READ_WITH_PREFIX + REALPATH REGEX REGULAR_EXPRESSION RELATIVE @@ -356,6 +369,7 @@ VERSION_EQUAL VERSION_GREATER VERSION_LESS + WARNING WIN32 WORKING_DIRECTORY WRITE @@ -371,40 +385,51 @@ ABSTRACT ADDITIONAL_MAKE_CLEAN_FILES + ADVANCED ALLOW_DUPLICATE_CUSTOM_TARGETS ARCHIVE_OUTPUT_DIRECTORY + ARCHIVE_OUTPUT_NAME + ATTACHED_FILES + ATTACHED_FILES_ON_FAIL BUILD_WITH_INSTALL_RPATH CACHE_VARIABLES - CLEAN_DIRECT_OUTPUT CLEAN_NO_CUSTOM COMPILE_DEFINITIONS COMPILE_FLAGS + COST DEBUG_CONFIGURATIONS DEBUG_POSTFIX DEFINE_SYMBOL DEFINITIONS + DEPENDS DISABLED_FEATURES ENABLED_FEATURES ENABLED_LANGUAGES ENABLE_EXPORTS + ENVIRONMENT EXCLUDE_FROM_ALL EXTERNAL_OBJECT EchoString FAIL_REGULAR_EXPRESSION FIND_LIBRARY_USE_LIB64_PATHS + FIND_LIBRARY_USE_OPENBSD_VERSIONING FRAMEWORK Fortran_MODULE_DIRECTORY GENERATED GENERATOR_FILE_NAME GLOBAL_DEPENDS_DEBUG_MODE + GLOBAL_DEPENDS_NO_CYCLES HAS_CXX HEADER_FILE_ONLY + HELPSTRING IMPLICIT_DEPENDS_INCLUDE_TRANSFORM IMPORTED IMPORTED_CONFIGURATIONS IMPORTED_IMPLIB IMPORTED_LINK_DEPENDENT_LIBRARIES + IMPORTED_LINK_INTERFACE_LANGUAGES IMPORTED_LINK_INTERFACE_LIBRARIES + IMPORTED_LINK_INTERFACE_MULTIPLICITY IMPORTED_LOCATION IMPORTED_SONAME IMPORT_PREFIX @@ -414,14 +439,18 @@ INSTALL_NAME_DIR INSTALL_RPATH INSTALL_RPATH_USE_LINK_PATH + INTERPROCEDURAL_OPTIMIZATION IN_TRY_COMPILE KEEP_EXTENSION + LABELS LANGUAGE LIBRARY_OUTPUT_DIRECTORY + LIBRARY_OUTPUT_NAME LINKER_LANGUAGE LINK_DIRECTORIES LINK_FLAGS LINK_INTERFACE_LIBRARIES + LINK_INTERFACE_MULTIPLICITY LINK_SEARCH_END_STATIC LISTFILE_STACK LOCATION @@ -431,8 +460,10 @@ MACOSX_PACKAGE_LOCATION MACROS MEASUREMENT + MODIFIED OBJECT_DEPENDS OBJECT_OUTPUTS + OSX_ARCHITECTURES OUTPUT_NAME PACKAGES_FOUND PACKAGES_NOT_FOUND @@ -442,15 +473,24 @@ PREFIX PRE_INSTALL_SCRIPT PRIVATE_HEADER + PROCESSORS PROJECT_LABEL PUBLIC_HEADER REPORT_UNDEFINED_PROPERTIES + REQUIRED_FILES RESOURCE + RULE_LAUNCH_COMPILE + RULE_LAUNCH_CUSTOM + RULE_LAUNCH_LINK + RULE_MESSAGES RUNTIME_OUTPUT_DIRECTORY + RUNTIME_OUTPUT_NAME + RUN_SERIAL SKIP_BUILD_RPATH SOURCES SOVERSION STATIC_LIBRARY_FLAGS + STRINGS SUFFIX SYMBOLIC TARGET_ARCHIVES_MAY_BE_SHARED_LIBS @@ -458,6 +498,7 @@ TEST_INCLUDE_FILE TIMEOUT TYPE + VALUE VARIABLES VERSION VS_KEYWORD @@ -484,36 +525,34 @@ + + + + - - - - - - + + - - + - + - - + diff --git a/kate/data/coffee.xml b/kate/data/coffee.xml new file mode 100644 index 000000000..b56386a7a --- /dev/null +++ b/kate/data/coffee.xml @@ -0,0 +1,267 @@ + + + + + + + + false + true + yes + no + on + off + undefined + null + NaN + Infinity + + + + return + break + continue + throw + for + while + until + loop + if + else + unless + switch + when + then + and + or + in + of + by + is + isnt + not + typeof + delete + where + super + try + catch + finally + try + catch + finally + constructor + + + + class + extends + new + instanceof + + + + case + default + function + var + void + with + const + let + enum + export + import + native + __hasProp + __extends + __slice + __bind + __indexOf + + + + Object + Number + Boolean + Array + String + RegExp + Function + Date + Math + eval + setInterval + clearInterval + setTimeout + clearTimeout + isFinite + isNaN + parseFloat + parseInt + escape + unescape + console + encodeURI + encodeURIComponent + decodeURI + decodeURIComponent + + + + window + document + navigator + location + history + screen + alert + prompt + + + + process + GLOBAL + require + exports + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/commonlisp.xml b/kate/data/commonlisp.xml index 19db0c937..563e56002 100644 --- a/kate/data/commonlisp.xml +++ b/kate/data/commonlisp.xml @@ -23,7 +23,7 @@ * Boston, MA 02110-1301, USA. * ********************************************************************** --> - + < diff --git a/kate/data/cpp.xml b/kate/data/cpp.xml index 6007caf73..4b27772dd 100644 --- a/kate/data/cpp.xml +++ b/kate/data/cpp.xml @@ -1,7 +1,7 @@ case catch class + constexpr const_cast continue + decltype default delete do @@ -39,6 +41,7 @@ inline namespace new + nullptr operator private protected @@ -47,6 +50,7 @@ reinterpret_cast return sizeof + static_assert static_cast struct switch @@ -77,9 +81,6 @@ or_eq xor xor_eq - except - finally - xalloc K_DCOP @@ -155,6 +156,8 @@ auto bool char + char16_t + char32_t const double float @@ -183,7 +186,7 @@ - + @@ -272,7 +275,6 @@ - @@ -294,23 +296,23 @@ - - - - - - - - - + + + + + + + + + - - - - - + + + + + diff --git a/kate/data/crk.xml b/kate/data/crk.xml new file mode 100644 index 000000000..640a9cf3c --- /dev/null +++ b/kate/data/crk.xml @@ -0,0 +1,146 @@ + + + + + break + catch + class + continue + else + false + for + if + in + is + null + oper + return + this + true + try + typeof + while + + + bool + byte + int32 + int64 + uint32 + uint64 + float32 + float64 + int + uint + intz + uintz + float + void + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/cs.xml b/kate/data/cs.xml index 3393aa204..260434c9b 100644 --- a/kate/data/cs.xml +++ b/kate/data/cs.xml @@ -1,5 +1,5 @@ - + abstract @@ -116,6 +116,11 @@ + + + + + diff --git a/kate/data/css.xml b/kate/data/css.xml index 6b24dba0f..02ab43036 100644 --- a/kate/data/css.xml +++ b/kate/data/css.xml @@ -8,6 +8,9 @@ Changelog: + - Version 2.03, by Milian Wolff: + - Make it spelling aware + - Version 2.02, by Rafał Miłecki: - Added CSS 3 properties "border-*-image" and "border-*-radius". - Added CSS values "clip", "ellipsis" and "ellipsis-word". @@ -25,7 +28,8 @@ --> - + @@ -413,10 +417,10 @@ monospace border-box content-box - + - -moz-box - + -moz-box + @@ -424,6 +428,7 @@ aqua black blue + cyan fuchsia gray green @@ -481,10 +486,10 @@ local format - + expression - + @@ -557,7 +562,7 @@ - + @@ -672,22 +677,22 @@ - - - - - - - - - - - - + + + + + + + + + + + + - - - + + + diff --git a/kate/data/d.xml b/kate/data/d.xml index 500c6e748..d40c413a3 100644 --- a/kate/data/d.xml +++ b/kate/data/d.xml @@ -101,7 +101,7 @@ ======================================================================== --> - + @@ -143,6 +143,7 @@ const export final + immutable inout invariant lazy diff --git a/kate/data/debianchangelog.xml b/kate/data/debianchangelog.xml index 5bf44072b..bfa265ddf 100644 --- a/kate/data/debianchangelog.xml +++ b/kate/data/debianchangelog.xml @@ -1,15 +1,19 @@ - + urgency + oldstable + oldstable-security + oldstable-proposed-updates stable stable-security testing + testing-security testing-proposed-updates frozen unstable @@ -21,7 +25,10 @@ etch-backports etch-volatile lenny-backports + lenny-backports-sloppy lenny-volatile + squeeze-backports + squeeze-volatile dapper dapper-security @@ -70,7 +77,21 @@ karmic-proposed karmic-updates karmic-backports - karmic-partner + lucid + lucid-security + lucid-proposed + lucid-updates + lucid-backports + maverick + maverick-security + maverick-proposed + maverick-updates + maverick-backports + natty + natty-security + natty-proposed + natty-updates + natty-backports diff --git a/kate/data/debiancontrol.xml b/kate/data/debiancontrol.xml index 83fb40883..8c34c64ca 100644 --- a/kate/data/debiancontrol.xml +++ b/kate/data/debiancontrol.xml @@ -1,6 +1,6 @@ - + @@ -16,12 +16,13 @@ + - + @@ -30,7 +31,7 @@ - + @@ -56,6 +57,9 @@ + + + diff --git a/kate/data/djangotemplate.xml b/kate/data/djangotemplate.xml index 2e817adf8..5189f86ea 100644 --- a/kate/data/djangotemplate.xml +++ b/kate/data/djangotemplate.xml @@ -4,7 +4,7 @@ ]> - + + + + + +]> + + + + + + + assoc + break + call + cd + chdir + cls + color + copy + date + del + dir + endlocal + erase + exit + ftype + md + mkdir + move + path + pause + popd + prompt + pushd + rd + ren + rename + rmdir + setlocal + shift + start + time + title + type + ver + verify + vol + + + + echo + else + for + in + do + goto + if + not + set + + + + at + attrib + break + cacls + chcp + chkdsk + chkntfs + cmd + comp + compact + convert + diskcomp + diskcopy + doskey + fc + find + findstr + format + graftabl + help + label + mode + more + print + recover + replace + sort + subst + tree + xcopy + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/doxygen.xml b/kate/data/doxygen.xml index f5c47f129..bb3818b33 100644 --- a/kate/data/doxygen.xml +++ b/kate/data/doxygen.xml @@ -8,6 +8,7 @@ Copyright 2004 Dominik Haumann (dhdev@gmx.de) Copyright 2007 Matthew Woehlke (mw_triad@users.sourceforge.net) + Copyright 2009 Alex Turbov (I.zaufi@gmail.com) ********************************************************************** * This library is free software; you can redistribute it and/or * @@ -26,58 +27,85 @@ * Boston, MA 02110-1301, USA. * ********************************************************************** --> - + + + + \arg + \attention \author \callgraph - \code + \callergraph + + + \details \dot \else - \endcode + \endcond + \enddot \endhtmlonly \endif \endlatexonly \endlink + \endmanonly + \endmsc \endverbatim \endxmlonly \f[ + \f] \f$ \hideinitializer \htmlonly \interface + \internal \invariant \~ \@ \$ + \\ \# \latexonly \li \manonly + + \msc \n \nosubgrouping \note \only + \post \pre + \private + \privatesection + \protected + + \protectedsection + \public + \publicsection \remarks \return + \returns - \sa - \see + + \showinitializer \since \test + \todo \verbatim \warning @@ -87,49 +115,70 @@ @attention @author @callgraph - @code + @callergraph + + + @details @dot @else - @endcode + @endcond + @enddot @endhtmlonly @endif @endlatexonly @endlink + @endmanonly + @endmsc @endverbatim @endxmlonly @f[ + @f] @f$ @hideinitializer @htmlonly @interface + @internal @invariant @~ @@ @$ + @\ @# @latexonly @li @manonly + + @msc @n @nosubgrouping @note @only + @post @pre + @pivate + @pivatesection + @protected + + @protectedsection + @public + @publicsection @remarks @return + @returns - @sa - @see + + @showinitializer @since @test + @todo @verbatim @warning @@ -141,80 +190,118 @@ \a \anchor \b + \c \class \cond + \copybrief + \copydetails + \copydoc \def + \dir \dontinclude \dotfile + \e \elseif \em \enum \example + \exception \exceptions + \extends \file \htmlinclude + \if \ifnot + \implements \include + \includelineno + \link + \memberof \namespace \p \package + + \property + \protocol \ref \relatesalso \relates + \retval \throw \throws \verbinclude \version + \xrefitem @addtogroup @a @anchor + @b @c @class @cond + @copybrief + + @copydetails @copydoc @def + @dir @dontinclude + @dotfile @e @elseif @em @enum + @example @exception @exceptions + @extends @file + @htmlinclude @if @ifnot + @implements @include + + @includelineno @link + @memberof @namespace @p + @package + @property + @prtocol @ref @relatesalso + @relates @retval @throw @throws @verbinclude + @version @xrefitem \param + \tparam @param + @tparam \image @@ -222,41 +309,57 @@ @image + \category \defgroup + + \headerfile \page \paragraph \section \struct + + \subpage \subsection \subsubsection \union \weakgroup + @category @defgroup + @headerfile @page + @paragraph @section @struct + @subpage @subsection + @subsubsection @union @weakgroup \addindex + \brief \bug \date \deprecated \fn + \ingroup \line \mainpage \name \overload + \par + \sa + \see \short \skip + \skipline \typedef \until @@ -267,19 +370,25 @@ @bug @date @deprecated + @fn @ingroup @line @mainpage @name + @overload @par + @sa + @see @short + @skip @skipline @typedef @until @var + - - - - - - + + + + + + + - + + + @@ -312,14 +424,17 @@ + - + + + @@ -329,18 +444,22 @@ + + + - + - + + @@ -349,53 +468,59 @@ - + + - + + - + + - + - + - - + + + - + - + + - + + - + @@ -403,7 +528,8 @@ - + + @@ -413,6 +539,7 @@ + @@ -422,6 +549,7 @@ + @@ -431,6 +559,7 @@ + @@ -440,15 +569,17 @@ + - - + + + @@ -458,24 +589,76 @@ + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - + + + + + + + + + - + + + + + + + + diff --git a/kate/data/e.xml b/kate/data/e.xml index a1fa75f6d..c21ac2880 100644 --- a/kate/data/e.xml +++ b/kate/data/e.xml @@ -1,6 +1,6 @@ - + FALSE @@ -200,9 +200,9 @@ - + - + @@ -215,13 +215,13 @@ - + - + - - - + + + @@ -251,6 +251,9 @@ + + + diff --git a/kate/data/fortran.xml b/kate/data/fortran.xml index 948267fc9..4a83a703a 100644 --- a/kate/data/fortran.xml +++ b/kate/data/fortran.xml @@ -1,6 +1,6 @@ - + @@ -13,17 +13,17 @@ call case common - contains + continue cycle deallocate default - do + forall where elsewhere - elseif - else + + equivalence exit external @@ -51,7 +51,7 @@ select selectcase stop - then + to use only @@ -380,8 +380,9 @@ - + + @@ -458,13 +459,23 @@ - + + + - - - + + + + + + + + + + + diff --git a/kate/data/fsharp.xml b/kate/data/fsharp.xml new file mode 100644 index 000000000..e5180e3bc --- /dev/null +++ b/kate/data/fsharp.xml @@ -0,0 +1,287 @@ + + + + + + + + +]> + + + + + abstract + and + as + assert + base + + class + delegate + + + dowcast + downto + elif + else + + exception + extern + false + for + fun + function + functor + global + if + in + + inherit + inline + interfaece + internal + lazy + let + match + member + + mutable + namespace + new + not + null + + of + + or + override + private + public + rec + ref + return + + static + + then + to + true + try + type + upcast + use + val + void + when + while + with + yield + + + bool + byte + sbyte + int16 + uint16 + int + uint32 + int64 + uint64 + nativeint + unativeint + char + string + decimal + unit + void + float32 + single + float + double + bigint + option + seq + + + ? + + + end + + + sig + + + struct + + + object + + + begin + + + do + + + done + + + module + open + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/gdb.xml b/kate/data/gdb.xml new file mode 100644 index 000000000..85f9383ff --- /dev/null +++ b/kate/data/gdb.xml @@ -0,0 +1,59 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/glosstex.xml b/kate/data/glosstex.xml new file mode 100644 index 000000000..b9a98866b --- /dev/null +++ b/kate/data/glosstex.xml @@ -0,0 +1,46 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/glsl.xml b/kate/data/glsl.xml index 21ef312a0..53a872265 100644 --- a/kate/data/glsl.xml +++ b/kate/data/glsl.xml @@ -1,6 +1,6 @@ - + break diff --git a/kate/data/gnuassembler.xml b/kate/data/gnuassembler.xml index 754e4fa02..73db06cff 100644 --- a/kate/data/gnuassembler.xml +++ b/kate/data/gnuassembler.xml @@ -9,6 +9,10 @@ * * ************************************************************************* +Updated: Miquel Sabaté +Date: 14th September, 2010 +Version: 1.02 + Updated: Roland Pabel Date: 15th August, 2002 Version: 1.01 @@ -39,19 +43,22 @@ with this program; if not, write to the Free Software Foundation, Inc., --> - + .abort .align - .appfile + .app-file .appline .ascii .asciz + .att_syntax .balign .balignl .balignw .byte + .code16 + .code32 .comm .common.s .common @@ -128,6 +135,7 @@ with this program; if not, write to the Free Software Foundation, Inc., .ifnotdef .include .int + .intel_syntax .internal .irep .irepc @@ -148,6 +156,7 @@ with this program; if not, write to the Free Software Foundation, Inc., .noformat .nolist .nopage + noprefix .octa .offset .org @@ -164,6 +173,7 @@ with this program; if not, write to the Free Software Foundation, Inc., .purgem .pushsection .quad + .rodata .rep .rept .rva @@ -225,7 +235,7 @@ with this program; if not, write to the Free Software Foundation, Inc., - + @@ -233,29 +243,39 @@ with this program; if not, write to the Free Software Foundation, Inc., + + + + + - + - + - - + + + + + + + - + @@ -273,8 +293,9 @@ with this program; if not, write to the Free Software Foundation, Inc., - + diff --git a/kate/data/go.xml b/kate/data/go.xml new file mode 100644 index 000000000..2913ce0c7 --- /dev/null +++ b/kate/data/go.xml @@ -0,0 +1,150 @@ + + + + + + + + + + + break + case + chan + const + continue + default + defer + else + fallthrough + for + func + go + goto + if + import + interface + map + + range + return + select + struct + switch + type + var + + + bool + byte + complex64 + complex128 + float + float32 + float64 + int + int8 + int16 + int32 + int64 + string + uint + uint8 + uint16 + uint32 + uint64 + + + cap + len + make + new + panic + recover + + + false + nil + true + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/grammar.xml b/kate/data/grammar.xml new file mode 100644 index 000000000..8156c404b --- /dev/null +++ b/kate/data/grammar.xml @@ -0,0 +1,145 @@ + + + + + + + ast_extra_members + export_macro + export_macro_header + namespace + parserclass + token + token_stream + parser_declaration_header + parser_bits_header + ast_header + ast_base + parser_base + bin + pre + post + tern + paren + left + right + < + > + + + try/rollback + try/recover + catch + + + public + protected + private + + + declaration + destructor + constructor + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/haskell.xml b/kate/data/haskell.xml index 34dff8c7f..1d73e319a 100644 --- a/kate/data/haskell.xml +++ b/kate/data/haskell.xml @@ -1,388 +1,380 @@ - - - - - case - class - data - deriving - do - else - if - import - in - infixl - infixr - instance - let - module - of - primitive - then - type - where - - - quot - rem - div - mod - elem - notElem - seq - - - + + + + as + case + class + data + deriving + do + else + hiding + if + import + in + infixl + infixr + instance + let + module + newtype + of + primitive + qualified + then + type + where + + + FilePath + IOError + abs + acos + acosh + all + and + any + appendFile + approxRational + asTypeOf + asin + asinh + atan + atan2 + atanh + basicIORun + break + catch + ceiling + chr + compare + concat + concatMap + const + cos + cosh + curry + cycle + decodeFloat + denominator + digitToInt + div + divMod + drop + dropWhile + either + elem + encodeFloat + enumFrom + enumFromThen + enumFromThenTo + enumFromTo + error + even + exp + exponent + fail + filter + flip + floatDigits + floatRadix + floatRange + floor + fmap + foldl + foldl1 + foldr + foldr1 + fromDouble + fromEnum + fromInt + fromInteger + fromIntegral + fromRational + fst + gcd + getChar + getContents + getLine + group + head + id + inRange + index + init + intToDigit + interact + ioError + isAlpha + isAlphaNum + isAscii + isControl + isDenormalized + isDigit + isHexDigit + isIEEE + isInfinite + isLower + isNaN + isNegativeZero + isOctDigit + isPrint + isSpace + isUpper + iterate + last + lcm + length + lex + lexDigits + lexLitChar + lines + log + logBase + lookup + map + mapM + mapM_ + max + maxBound + maximum + maybe + min + minBound + minimum + mod + negate + not + notElem + null + numerator + odd + or + ord + otherwise + pack + pi + pred + primExitWith + print + product + properFraction + putChar + putStr + putStrLn + quot + quotRem + range + rangeSize + read + readDec + readFile + readFloat + readHex + readIO + readInt + readList + readLitChar + readLn + readOct + readParen + readSigned + reads + readsPrec + realToFrac + recip + rem + repeat + replicate + return + reverse + round + scaleFloat + scanl + scanl1 + scanr + scanr1 + seq + sequence + sequence_ + show + showChar + showInt + showList + showLitChar + showParen + showSigned + showString + shows + showsPrec + significand + signum + sin + sinh + snd + sort + span + splitAt + sqrt + subtract + succ + sum + tail + take + takeWhile + tan + tanh + threadToIOResult + toEnum + toInt + toInteger + toLower + toRational + toUpper + truncate + uncurry + undefined + unlines + until + unwords + unzip + unzip3 + userError + words + writeFile + zip + zip3 + zipWith + zipWith3 + + + Bounded + Enum + Eq + Floating + Fractional + Functor + Integral + Ix + Monad + Num + Ord + Read + Real + RealFloat + RealFrac + Show + + + Bool + Char + Double + Either + FilePath + Float + Int + Integer + IO + IOError + Maybe + Ordering + Ratio + Rational + ReadS + ShowS + String + ByteString + + + False + True + Left + Right + Just + Nothing + EQ + LT + GT + + + + + + - FilePath - IOError - abs - acos - acosh - all - and - any - appendFile - approxRational - asTypeOf - asin - asinh - atan - atan2 - atanh - basicIORun - break - catch - ceiling - chr - compare - concat - concatMap - const - cos - cosh - curry - cycle - decodeFloat - denominator - digitToInt - div - divMod - drop - dropWhile - either - elem - encodeFloat - enumFrom - enumFromThen - enumFromThenTo - enumFromTo - error - even - exp - exponent - fail - filter - flip - floatDigits - floatRadix - floatRange - floor - fmap - foldl - foldl1 - foldr - foldr1 - fromDouble - fromEnum - fromInt - fromInteger - fromIntegral - fromRational - fst - gcd - getChar - getContents - getLine - head - id - inRange - index - init - intToDigit - interact - ioError - isAlpha - isAlphaNum - isAscii - isControl - isDenormalized - isDigit - isHexDigit - isIEEE - isInfinite - isLower - isNaN - isNegativeZero - isOctDigit - isPrint - isSpace - isUpper - iterate - last - lcm - length - lex - lexDigits - lexLitChar - lines - log - logBase - lookup - map - mapM - mapM_ - max - maxBound - maximum - maybe - min - minBound - minimum - mod - negate - not - notElem - null - numerator - odd - or - ord - otherwise - pi - pred - primExitWith - print - product - properFraction - putChar - putStr - putStrLn - quot - quotRem - range - rangeSize - read - readDec - readFile - readFloat - readHex - readIO - readInt - readList - readLitChar - readLn - readOct - readParen - readSigned - reads - readsPrec - realToFrac - recip - rem - repeat - replicate - return - reverse - round - scaleFloat - scanl - scanl1 - scanr - scanr1 - seq - sequence - sequence_ - show - showChar - showInt - showList - showLitChar - showParen - showSigned - showString - shows - showsPrec - significand - signum - sin - sinh - snd - span - splitAt - sqrt - subtract - succ - sum - tail - take - takeWhile - tan - tanh - threadToIOResult - toEnum - toInt - toInteger - toLower - toRational - toUpper - truncate - uncurry - undefined - unlines - until - unwords - unzip - unzip3 - userError - words - writeFile - zip - zip3 - zipWith - zipWith3 - - - Bool - Char - Double - Either - Float - IO - Integer - Int - Maybe - Ordering - Rational - Ratio - ReadS - ShowS - String + + + + + - - - Bounded - Enum - Eq - Floating - Fractional - Functor - Integral - Ix - Monad - Num - Ord - Read - RealFloat - RealFrac - Real - Show - - - EQ - False - GT - Just - LT - Left - Nothing - Right - True - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/ini.xml b/kate/data/ini.xml index af0808f8c..3cdcd1f20 100644 --- a/kate/data/ini.xml +++ b/kate/data/ini.xml @@ -1,6 +1,6 @@ - + diff --git a/kate/data/jam.xml b/kate/data/jam.xml new file mode 100644 index 000000000..0b0ebf625 --- /dev/null +++ b/kate/data/jam.xml @@ -0,0 +1,347 @@ + + + + + + + + actions + break + continue + for + in + if + else + include + local + on + return + rule + switch + case + while + + + local + + + existing + ignore + piecemeal + quietly + together + updated + + + bind + + : + ; + [ + ] + ( + ) + { + } + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/java.xml b/kate/data/java.xml index c7f0f3967..94f793782 100644 --- a/kate/data/java.xml +++ b/kate/data/java.xml @@ -1,6 +1,6 @@ - + ACTIVE @@ -3767,13 +3767,6 @@ - - - - - - - diff --git a/kate/data/javascript.xml b/kate/data/javascript.xml index be2e33d8b..3a7a8b855 100644 --- a/kate/data/javascript.xml +++ b/kate/data/javascript.xml @@ -3,7 +3,9 @@ - + if @@ -125,288 +127,27 @@ onSubmit onUnload - - above - action - alinkColor - alert - anchor - anchors - appCodeName - applets - apply - appName - appVersion - argument - arguments - arity - availHeight - availWidth - back - background - below - bgColor - border - big - blink - blur - bold - border - call - caller - charAt - charCodeAt - checked - clearInterval - clearTimeout - click - clip - close - closed - colorDepth - complete - compile - constructor - confirm - cookie - current - cursor - data - defaultChecked - defaultSelected - defaultStatus - defaultValue - description - disableExternalCapture - domain - elements - embeds - enabledPlugin - enableExternalCapture - encoding - eval - exec - fgColor - filename - find - fixed - focus - fontcolor - fontsize - form - forms - formName - forward - frames - fromCharCode - getDate - getDay - getHours - getMiliseconds - getMinutes - getMonth - getSeconds - getSelection - getTime - getTimezoneOffset - getUTCDate - getUTCDay - getUTCFullYear - getUTCHours - getUTCMilliseconds - getUTCMinutes - getUTCMonth - getUTCSeconds - getYear - global - go - hash - height - history - home - host - hostname - href - hspace - ignoreCase - images - index - indexOf - innerHeight - innerWidth - input - italics - javaEnabled - join - language - lastIndex - lastIndexOf - lastModified - lastParen - layers - layerX - layerY - left - leftContext - length - link - linkColor - links - location - locationbar - load - lowsrc - match - MAX_VALUE - menubar - method - mimeTypes - MIN_VALUE - modifiers - moveAbove - moveBelow - moveBy - moveTo - moveToAbsolute - multiline - name - NaN - NEGATIVE_INFINITY - negative_infinity - next - open - opener - options - outerHeight - outerWidth - pageX - pageY - pageXoffset - pageYoffset - parent - parse - pathname - personalbar - pixelDepth - platform - plugins - pop - port - POSITIVE_INFINITY - positive_infinity - preference - previous - print - prompt - protocol - prototype - push - referrer - refresh - releaseEvents - reload - replace - reset - resizeBy - resizeTo - reverse - rightContext - screenX - screenY - scroll - scrollbar - scrollBy - scrollTo - search - select - selected - selectedIndex - self - setDate - setHours - setMinutes - setMonth - setSeconds - setTime - setTimeout - setUTCDate - setUTCDay - setUTCFullYear - setUTCHours - setUTCMilliseconds - setUTCMinutes - setUTCMonth - setUTCSeconds - setYear - shift - siblingAbove - siblingBelow - small - sort - source - splice - split - src - status - statusbar - strike - sub - submit - substr - substring - suffixes - sup - taintEnabled - target - test - text - title - toGMTString - toLocaleString - toLowerCase - toolbar - toSource - toString - top - toUpperCase - toUTCString - type - URL - unshift - unwatch - userAgent - UTC - value - valueOf - visibility - vlinkColor - vspace - width - watch - which - width - write - writeln - x - y - zIndex - + + + + - + + + + - - - + @@ -458,6 +199,7 @@ + @@ -471,26 +213,34 @@ + + + + + + + - - - - - - - - - - + + + + + + + + + + - + - - - - - + + + + + + diff --git a/kate/data/json.xml b/kate/data/json.xml index 8dda5c0e7..074486edc 100644 --- a/kate/data/json.xml +++ b/kate/data/json.xml @@ -12,9 +12,9 @@ ** http://tools.ietf.org/html/rfc4627 *************************************************************************** --> - + - + null true false @@ -23,6 +23,9 @@ + + + @@ -64,6 +67,7 @@ + @@ -88,9 +92,9 @@ - + - + diff --git a/kate/data/latex.xml b/kate/data/latex.xml index 4c944cd50..f138b53d9 100644 --- a/kate/data/latex.xml +++ b/kate/data/latex.xml @@ -1,28 +1,28 @@ - + - - + + - + - + - + - + @@ -45,9 +45,9 @@ - + - + @@ -58,8 +58,8 @@ - - + + @@ -79,33 +79,19 @@ - + - - - - - - - - - - + + + - + - - + + - - - - - - - - + @@ -137,7 +123,7 @@ - + @@ -163,9 +149,9 @@ - + - + @@ -189,26 +175,46 @@ - + - + + + + + + + + + + + + + + + + + + + + - - + + - + + - + @@ -251,6 +257,26 @@ + + + + + + + + + + + + + + + + + + + + @@ -276,9 +302,8 @@ - - - + + @@ -296,7 +321,7 @@ - + @@ -332,8 +357,8 @@ - - + + @@ -365,9 +390,9 @@ - - - + + + @@ -421,29 +446,24 @@ - + - - - - - - - - - - - + + + + + + + + + + + - + - - - - - - - + + @@ -452,4 +472,122 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/literate-haskell.xml b/kate/data/literate-haskell.xml index 6a9746794..52dcebe27 100644 --- a/kate/data/literate-haskell.xml +++ b/kate/data/literate-haskell.xml @@ -1,386 +1,38 @@ - - - - case - class - data - deriving - do - else - if - in - infixl - infixr - instance - let - module - of - primitive - then - type - where - - - quot - rem - div - mod - elem - notElem - seq - - - - - FilePath - IOError - abs - acos - acosh - all - and - any - appendFile - approxRational - asTypeOf - asin - asinh - atan - atan2 - atanh - basicIORun - break - catch - ceiling - chr - compare - concat - concatMap - const - cos - cosh - curry - cycle - decodeFloat - denominator - digitToInt - div - divMod - drop - dropWhile - either - elem - encodeFloat - enumFrom - enumFromThen - enumFromThenTo - enumFromTo - error - even - exp - exponent - fail - filter - flip - floatDigits - floatRadix - floatRange - floor - fmap - foldl - foldl1 - foldr - foldr1 - fromDouble - fromEnum - fromInt - fromInteger - fromIntegral - fromRational - fst - gcd - getChar - getContents - getLine - head - id - inRange - index - init - intToDigit - interact - ioError - isAlpha - isAlphaNum - isAscii - isControl - isDenormalized - isDigit - isHexDigit - isIEEE - isInfinite - isLower - isNaN - isNegativeZero - isOctDigit - isPrint - isSpace - isUpper - iterate - last - lcm - length - lex - lexDigits - lexLitChar - lines - log - logBase - lookup - map - mapM - mapM_ - max - maxBound - maximum - maybe - min - minBound - minimum - mod - negate - not - notElem - null - numerator - odd - or - ord - otherwise - pi - pred - primExitWith - print - product - properFraction - putChar - putStr - putStrLn - quot - quotRem - range - rangeSize - read - readDec - readFile - readFloat - readHex - readIO - readInt - readList - readLitChar - readLn - readOct - readParen - readSigned - reads - readsPrec - realToFrac - recip - rem - repeat - replicate - return - reverse - round - scaleFloat - scanl - scanl1 - scanr - scanr1 - seq - sequence - sequence_ - show - showChar - showInt - showList - showLitChar - showParen - showSigned - showString - shows - showsPrec - significand - signum - sin - sinh - snd - span - splitAt - sqrt - subtract - succ - sum - tail - take - takeWhile - tan - tanh - threadToIOResult - toEnum - toInt - toInteger - toLower - toRational - toUpper - truncate - uncurry - undefined - unlines - until - unwords - unzip - unzip3 - userError - words - writeFile - zip - zip3 - zipWith - zipWith3 - - - Bool - Char - Double - Either - Float - IO - Integer - Int - Maybe - Ordering - Rational - Ratio - ReadS - ShowS - String - - - - Bounded - Enum - Eq - Floating - Fractional - Functor - Integral - Ix - Monad - Num - Ord - Read - RealFloat - RealFrac - Real - Show - - - EQ - False - GT - Just - LT - Left - Nothing - Right - True - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/lua.xml b/kate/data/lua.xml index 6de4c8a17..52442de05 100644 --- a/kate/data/lua.xml +++ b/kate/data/lua.xml @@ -35,7 +35,7 @@ - improved highlighting --> - + and @@ -213,6 +213,10 @@ + + + + @@ -297,4 +301,4 @@ - \ No newline at end of file + diff --git a/kate/data/m4.xml b/kate/data/m4.xml new file mode 100644 index 000000000..23e3f3576 --- /dev/null +++ b/kate/data/m4.xml @@ -0,0 +1,193 @@ + + + + + + + __gnu__ + __os2__ + os2 + __unix__ + unix + __windows__ + windows + + + m4___gnu__ + m4___os2__ + m4_os2 + m4___unix__ + m4_unix + m4___windows__ + m4_windows + + + __file__ + __line__ + __program__ + builtin + changecom + changequote + changeword + debugfile + debugmode + decr + define + defn + divert + divnum + dnl + dumpdef + errprint + esyscmd + eval + format + ifdef + ifelse + include + incr + index + indir + len + m4exit + m4wrap + maketemp + mkstemp + patsubst + popdef + pushdef + regexp + shift + sinclude + substr + syscmd + sysval + traceon + traceoff + translit + undefine + undivert + + + m4___file__ + m4___line__ + m4___program__ + m4_builtin + m4_changecom + m4_changequote + m4_changeword + m4_debugfile + m4_debugmode + m4_decr + m4_define + m4_defn + m4_divert + m4_divnum + m4_dnl + m4_dumpdef + m4_errprint + m4_esyscmd + m4_eval + m4_format + m4_ifdef + m4_ifelse + m4_include + m4_incr + m4_index + m4_indir + m4_len + m4_m4exit + m4_m4wrap + m4_maketemp + m4_mkstemp + m4_patsubst + m4_popdef + m4_pushdef + m4_regexp + m4_shift + m4_sinclude + m4_substr + m4_syscmd + m4_sysval + m4_traceon + m4_traceoff + m4_translit + m4_undefine + m4_undivert + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/makefile.xml b/kate/data/makefile.xml index fc322d737..c0473c958 100644 --- a/kate/data/makefile.xml +++ b/kate/data/makefile.xml @@ -3,7 +3,8 @@ - + + include @@ -57,11 +58,12 @@ - + - + + @@ -74,32 +76,47 @@ - - - - + + + + - - - - + + - - - + + - - - + + + + + + + + + + + + + + + + + + + + - + + diff --git a/kate/data/mediawiki.xml b/kate/data/mediawiki.xml index 42ec1dd32..b3aa5c9b1 100644 --- a/kate/data/mediawiki.xml +++ b/kate/data/mediawiki.xml @@ -1,6 +1,6 @@ - + @@ -45,12 +45,12 @@ - + - + @@ -62,7 +62,7 @@ - + diff --git a/kate/data/mel.xml b/kate/data/mel.xml new file mode 100644 index 000000000..032cba66f --- /dev/null +++ b/kate/data/mel.xml @@ -0,0 +1,1381 @@ + + + + + + + + break + case + continue + default + do + else + false + for + global + if + in + proc + return + switch + true + while + + + + + about + abs + addAttr + addAttributeEditorNodeHelp + addDynamic + addNewShelfTab + addPanelCategory + addPP + addPrefixToName + advanceToNextDrivenKey + affectedNet + affects + aimConstraint + air + aliasAttr + alias + alignCtx + alignCurve + align + alignSurface + allViewFit + ambientLight + angleBetween + angle + animCurveEditor + animDisplay + animView + annotate + appendStringArray + applicationName + applyAttrPreset + applyTake + arcLenDimContext + arcLengthDimension + arclen + arrayMapper + art3dPaintCtx + artAttrCtx + artAttrPaintVertexCtx + artAttrSkinPaintCtx + artAttrTool + artBuildPaintMenu + artFluidAttrCtx + artPuttyCtx + artSelectCtx + artSetPaintCtx + artUserPaintCtx + assignCommand + assignInputDevice + assignViewportFactories + attachCurve + attachDeviceAttr + attachSurface + attrColorSliderGrp + attrCompatibility + attrControlGrp + attrEnumOptionMenuGrp + attrEnumOptionMenu + attrFieldGrp + attrFieldSliderGrp + attributeExists + attributeInfo + attributeMenu + attributeQuery + attrNavigationControlGrp + attrPresetEditWin + autoKeyframe + autoPlace + bakeClip + bakeFluidShading + bakePartialHistory + bakeResults + bakeSimulation + basenameEx + basename + batchRender + bessel + bevel + bevelPlus + bindSkin + binMembership + blank + blend2 + blendShapeEditor + blendShape + blendShapePanel + blendTwoAttr + blindDataType + boneLattice + boundary + boxDollyCtx + boxZoomCtx + bufferCurve + buildBookmarkMenu + buildKeyframeMenu + button + buttonManip + cacheFileCombine + cacheFile + cacheFileMerge + cacheFileTrack + camera + cameraView + canCreateManip + canvas + capitalizeString + cat_Animation + catch + catchQuiet + cat_Effects + cat_General + cat_Language + cat_Modeling + cat_Rendering + cat_System + cat_Windows + CBG + ceil + changeSubdivComponentDisplayLevel + changeSubdivRegion + channelBox + character + characterize + characterMap + characterOutlineEditor + chdir + checkBoxGrp + checkBox + checkDefaultRenderGlobals + choice + circle + circularFillet + clamp + clearCache + clear + clipEditorCurrentTimeCtx + clipEditor + clip + clipSchedule + clipSchedulerOutliner + clipTrimBefore + closeCurve + closeSurface + cluster + cmdFileOutput + cmdScrollFieldExecuter + cmdScrollFieldReporter + cmdShell + coarsenSubdivSelectionList + collision + colorAtPoint + colorEditor + color + colorIndex + colorIndexSliderGrp + colorSliderButtonGrp + colorSliderGrp + columnLayout + commandEcho + commandLine + commandPort + compactHairSystem + componentEditor + compositingInterop + computePolysetVolume + condition + cone + confirmDialog + connectAttr + connectControl + connectDynamic + connectionInfo + connectJoint + constrain + constrainValue + constructionHistory + container + containsMultibyte + contextInfo + control + convertFromOldLayers + convertIffToPsd + convertLightmap + convertSolidTx + convertTessellation + convertUnit + copyArray + copyFlexor + copyKey + copySkinWeights + cos + cpButton + cpCache + cpClothSet + cpCollision + cpConstraint + cpConvClothToMesh + cpForces + cpGetSolverAttr + cpPanel + cpProperty + cpRigidCollisionFilter + cpSeam + cpSetEdit + cpSetSolverAttr + cpSolver + cpSolverTypes + cpTool + cpUpdateClothUVs + createDisplayLayer + createDrawCtx + createEditor + createLayeredPsdFile + createMotionField + createNewShelf + createNode + createRenderLayer + createSubdivRegion + cross + crossProduct + ctxAbort + ctxCompletion + ctxEditMode + ctxTraverse + currentCtx + currentTimeCtx + currentTime + currentUnit + curveAddPtCtx + curveCVCtx + curveEditorCtx + curveEPCtx + curve + curveIntersect + curveMoveEPCtx + curveOnSurface + curveSketchCtx + cutKey + cycleCheck + cylinder + dagPose + date + defaultLightListCheckBox + defaultNavigation + defineDataServer + defineVirtualDevice + deformer + deg_to_rad + deleteAttr + delete + deleteShadingGroupsAndMaterials + deleteShelfTab + deleteUI + deleteUnusedBrushes + delrandstr + detachCurve + detachDeviceAttr + detachSurface + deviceEditor + devicePanel + dgdirty + dgeval + dgInfo + dgtimer + dimWhen + directionalLight + directKeyCtx + dirmap + dirname + disable + disconnectAttr + disconnectJoint + diskCache + displacementToPoly + displayAffected + displayColor + displayCull + displayLevelOfDetail + displayPref + displayRGBColor + displaySmoothness + displayStats + displayString + displaySurface + distanceDimContext + distanceDimension + doBlur + dollyCtx + dolly + dopeSheetEditor + dot + dotProduct + doubleProfileBirailSurface + dragAttrContext + draggerContext + drag + dropoffLocator + duplicateCurve + duplicate + duplicateSurface + dynamicLoad + dynCache + dynControl + dynExport + dynExpression + dynGlobals + dynPaintEditor + dynParticleCtx + dynPref + dynRelEditor + dynRelEdPanel + editAttrLimits + editDisplayLayerGlobals + editDisplayLayerMembers + editor + editorTemplate + editRenderLayerAdjustment + editRenderLayerGlobals + editRenderLayerMembers + effector + emit + emitter + enableDevice + encodeString + endString + endsWith + env + equivalent + equivalentTol + erf + error + evalDeferred + evalEcho + eval + event + exactWorldBoundingBox + exclusiveLightCheckBox + exec + executeForEachObject + exists + exp + expressionEditorListen + expression + extendCurve + extendSurface + extrude + fcheck + fclose + feof + fflush + fgetline + fgetword + fileBrowserDialog + fileDialog + fileExtension + file + fileInfo + filetest + filletCurve + filterCurve + filterExpand + filter + filterStudioImport + findAllIntersections + findAnimCurves + finder + findKeyframe + findMenuItem + findRelatedSkinCluster + firstParentOf + fitBspline + flexor + floatEq + floatFieldGrp + floatField + floatScrollBar + floatSlider2 + floatSliderButtonGrp + floatSliderGrp + floatSlider + floor + flow + fluidCacheInfo + fluidEmitter + fluidVoxelInfo + flushUndo + fmod + fontDialog + fopen + format + formLayout + fprint + frameLayout + frame_search + fread + freeFormFillet + frewind + fromNativePath + fwrite + gamma + gauss + geometryConstraint + getApplicationVersionAsFloat + getAttr + getClassification + getDefaultBrush + getenv + getFileList + getFluidAttr + getInputDeviceRange + getMayaPanelTypes + getModifiers + getPanel + getParticleAttr + getpid + getPluginResource + globalStitch + glRenderEditor + glRender + gmatch + goal + gotoBindPose + grabColor + gradientControl + gradientControlNoAttr + graphDollyCtx + graphSelectContext + graphTrackCtx + gravity + grid + gridLayout + group + groupObjectsByName + hardenPointCurve + hardware + hardwareRenderPanel + headsUpDisplay + headsUpMessage + help + helpLine + hermite + HfAddAttractorToAS + HfAssignAS + HfBuildEqualMap + HfBuildFurFiles + HfBuildFurImages + HfCancelAFR + HfConnectASToHF + HfCreateAttractor + HfDeleteAS + HfEditAS + HfPerformCreateAS + HfRemoveAttractorFromAS + HfSelectAttached + HfSelectAttractors + HfUnassignAS + hide + hilite + hitTest + hotBox + hotkeyCheck + hotkey + hsv_to_rgb + hudButton + hudSliderButton + hudSlider + hwReflectionMap + hwRender + hwRenderLoad + hyperGraph + hyperPanel + hyperShade + hypot + iconTextButton + iconTextCheckBox + iconTextRadioButton + iconTextRadioCollection + iconTextScrollList + iconTextStaticLabel + ikfkDisplayMethod + ikHandleCtx + ikHandleDisplayScale + ikHandle + ikSolver + ikSplineHandleCtx + ikSystem + ikSystemInfo + illustratorCurves + image + imfPlugins + index_all + index + index_overview + index_substring + inheritTransform + insertJointCtx + insertJoint + insertKeyCtx + insertKnotCurve + insertKnotSurface + instanceable + instance + instancer + internalVar + intersect + interToUI + intFieldGrp + intField + intScrollBar + intSliderGrp + intSlider + iprEngine + isAnimCurve + isConnected + isDirty + isolateSelect + isParentOf + isSameObject + isTrue + isValidObjectName + isValidString + isValidUiName + itemFilterAttr + itemFilter + itemFilterRender + itemFilterType + jointCluster + jointCtx + jointDisplayScale + joint + jointLattice + keyframe + keyframeOutliner + keyframeRegionCurrentTimeCtx + keyframeRegionDirectKeyCtx + keyframeRegionDollyCtx + keyframeRegionInsertKeyCtx + keyframeRegionMoveKeyCtx + keyframeRegionScaleKeyCtx + keyframeRegionSelectKeyCtx + keyframeRegionSetKeyCtx + keyframeRegionTrackCtx + keyframeStats + keyTangent + lassoContext + latticeDeformKeyCtx + lattice + launch + launchImageEditor + layerButton + layeredShaderPort + layeredTexturePort + layoutDialog + layout + lightlink + lightListEditor + lightList + lightListPanel + linearPrecision + lineIntersection + linstep + listAnimatable + listAttr + listCameras + listConnections + listDeviceAttachments + listerEditor + listHistory + listInputDeviceAxes + listInputDeviceButtons + listInputDevices + listMenuAnnotation + listNodeTypes + listPanelCategories + listRelatives + listSets + listTransforms + listUnselected + loadFluid + loadNewShelf + loadPlugin + loadPluginLanguageResources + loadPrefObjects + localizedPanelLabel + lockNode + loft + log + longNameOf + lookThru + ls + lsThroughFilter + lsType + lsUI + mag + makebot + makeIdentity + makeLive + makePaintable + makeRoll + makeSingleSurface + makeTubeOn + manipMoveContext + manipMoveLimitsCtx + manipOptions + manipRotateContext + manipRotateLimitsCtx + manipScaleContext + manipScaleLimitsCtx + marker + match + max + Mayatomr + memory + menuBarLayout + menuEditor + menu + menuItem + menuItemToShelf + menuSet + menuSetPref + messageLine + min + minimizeApp + mirrorJoint + modelCurrentTimeCtx + modelEditor + modelPanel + mouse + move + moveIKtoFK + moveKeyCtx + moveVertexAlongDirection + movIn + movOut + multiProfileBirailSurface + mute + nameCommand + nameField + namespace + namespaceInfo + nav_Animation + nav_Effects + nav_General + nav_Language + nav_Modeling + nav_Rendering + nav_System + nav_Windows + newPanelItems + newton + nodeCast + nodeIconButton + nodeOutliner + nodePreset + nodeType + noise + nonLinear + normalConstraint + normalize + nParticle + nurbsBoolean + nurbsCopyUVSet + nurbsCube + nurbsEditUV + nurbsPlane + nurbsSelect + nurbsSquare + nurbsToPolygonsPref + nurbsToPoly + nurbsToSubdiv + nurbsToSubdivPref + nurbsUVSet + nurbsViewDirectionVector + objectCenter + objectLayer + objectType + objectTypeUI + objExists + obsoleteProc + oceanNurbsPreviewPlane + offsetCurve + offsetCurveOnSurface + offsetSurface + openGLExtension + openMayaPref + optionMenuGrp + optionMenu + optionVar + orbitCtx + orbit + orientConstraint + outlinerEditor + outlinerPanel + overrideModifier + paintEffectsDisplay + pairBlend + palettePort + paneLayout + panelConfiguration + panelHistory + panel + paramDimContext + paramDimension + paramLocator + parentConstraint + parent + particleExists + particle + particleInstancer + particleRenderInfo + partition + pasteKey + pathAnimation + pause + pclose + percent + performanceOptions + pfxstrokes + pickWalk + picture + pixelMove + planarSrf + plane + playbackOptions + playblast + play + plugAttr + pluginInfo + pluginResourceUtil + plugNode + pointConstraint + pointCurveConstraint + pointLight + pointMatrixMult + pointOnCurve + pointOnSurface + pointPosition + poleVectorConstraint + polyAppendFacetCtx + polyAppend + polyAppendVertex + polyAutoProjection + polyAverageNormal + polyAverageVertex + polyBevel + polyBlendColor + polyBlindData + polyBoolOp + polyBridgeEdge + polyCacheMonitor + polyCheck + polyChipOff + polyClipboard + polyCloseBorder + polyCollapseEdge + polyCollapseFacet + polyColorBlindData + polyColorDel + polyColorPerVertex + polyColorSet + polyCompare + polyCone + polyCopyUV + polyCreaseCtx + polyCrease + polyCreateFacetCtx + polyCreateFacet + polyCube + polyCutCtx + polyCut + polyCylinder + polyCylindricalProjection + polyDelEdge + polyDelFacet + polyDelVertex + polyDuplicateAndConnect + polyDuplicateEdge + polyEditUV + polyEditUVShell + polyEvaluate + polyExtrudeEdge + polyExtrudeFacet + polyExtrudeVertex + polyFlipEdge + polyFlipUV + polyForceUV + polyGeoSampler + polyHelix + polyInfo + polyInstallAction + polyLayoutUV + polyListComponentConversion + polyMapCut + polyMapDel + polyMapSew + polyMapSewMove + polyMergeEdgeCtx + polyMergeEdge + polyMergeFacetCtx + polyMergeFacet + polyMergeUV + polyMergeVertex + polyMirrorFace + polyMoveEdge + polyMoveFacet + polyMoveFacetUV + polyMoveUV + polyMoveVertex + polyNormal + polyNormalizeUV + polyNormalPerVertex + polyOptions + polyOptUvs + polyOutput + polyPipe + polyPlanarProjection + polyPlane + polyPlatonicSolid + polyPoke + polyPrimitive + polyPrism + polyProjection + polyPyramid + polyQuad + polyQueryBlindData + polyReduce + polySelectConstraint + polySelectConstraintMonitor + polySelectCtx + polySelectEditCtx + polySelect + polySeparate + polySetToFaceNormal + polySewEdge + polyShortestPathCtx + polySlideEdge + polySmooth + polySoftEdge + polySphere + polySphericalProjection + polySplitCtx + polySplitEdge + polySplit + polySplitRing + polySplitVertex + polyStraightenUVBorder + polySubdivideEdge + polySubdivideFacet + polyTorus + polyToSubdiv + polyTransfer + polyTriangulate + polyUnite + polyUVSet + polyWedgeFace + popen + popupMenu + pose + pow + preloadRefEd + print + progressBar + progressWindow + projectCurve + projectionContext + projectionManip + projectTangent + projFileViewer + promptDialog + propModCtx + propMove + psdChannelOutliner + psdEditTextureFile + psdExport + psdTextureFile + putenv + pwd + python + querySubdiv + quit + radial + radioButtonGrp + radioButton + radioCollection + radioMenuItemCollection + rad_to_deg + rampColorPort + rand + randomizeFollicles + randstate + rangeControl + readTake + rebuildCurve + rebuildSurface + recordAttr + recordDevice + redo + referenceEdit + reference + referenceQuery + refineSubdivSelectionList + refreshAE + refresh + registerPluginResource + rehash + reloadImage + removeJoint + removeMultiInstance + removePanelCategory + renameAttr + rename + renameSelectionList + renameUI + renderer + renderGlobalsNode + render + renderInfo + renderLayerButton + renderLayerParent + renderLayerPostProcess + renderLayerUnparent + renderManip + renderPartition + renderQualityNode + renderSettings + renderThumbnailUpdate + renderWindowEditor + renderWindowSelectContext + reorderDeformers + reorder + requires + reroot + resampleFluid + resetAE + resetPfxToPolyCamera + resetTool + resolutionNode + retarget + reverseCurve + reverseSurface + revolve + rgb_to_hsv + rigidBody + rigidSolver + rollCtx + roll + rootOf + rotate + rotationInterpolation + rot + roundConstantRadius + rowColumnLayout + rowLayout + runTimeCommand + runup + sampleImage + saveAllShelves + saveAttrPreset + saveFluid + saveImage + saveInitialState + saveMenu + savePrefObjects + savePrefs + saveShelf + saveToolSettings + scaleBrushBrightness + scaleComponents + scaleConstraint + scale + scaleKeyCtx + scaleKey + sceneEditor + sceneUIReplacement + scmh + scriptCtx + scriptEditorInfo + scriptedPanel + scriptedPanelType + scriptJob + scriptNode + scriptTable + scriptToShelf + scrollField + scrollLayout + sculpt + searchPathArray + seed + selectContext + selectCurveCV + selectedNodes + select + selectionConnection + selectKeyCtx + selectKeyframeRegionCtx + selectKey + selectMode + selectPref + selectPriority + selectType + selLoadSettings + separator + setAttrEnumResource + setAttr + setAttrMapping + setAttrNiceNameResource + setConstraintRestPosition + setCustomAttrEnumResource + setCustomAttrNiceNameResource + setDefaultShadingGroup + setDrivenKeyframe + setDynamic + setEditCtx + setEditor + setFluidAttr + setFocus + setInfinity + setInputDeviceMapping + setKeyCtx + setKeyframeBlendshapeTargetWts + setKeyframe + setKeyPath + setMenuMode + setNodeNiceNameResource + setNodeTypeFlag + setParent + setParticleAttr + setPfxToPolyCamera + setPluginResource + setProject + sets + setStampDensity + setStartupMessage + setState + setToolTo + setUITemplate + setXformManip + shadingConnection + shadingGeometryRelCtx + shadingLightRelCtx + shadingNetworkCompare + shadingNode + shapeCompare + shelfButton + shelfLayout + shelfTabLayout + shellField + shortNameOf + showHelp + showHidden + show + showManipCtx + showSelectionInTitle + showShadingGroupAttrEditor + showWindow + sign + simplify + singleProfileBirailSurface + sin + sizeBytes + size + skinCluster + skinPercent + smoothCurve + smoothstep + smoothTangentSurface + snap2to2 + snapKey + snapMode + snapshot + snapTogetherCtx + soft + softModCtx + softMod + sort + soundControl + sound + source + spaceLocator + sphere + sphrand + spotLight + spotLightPreviewPort + spreadSheetEditor + spring + sqrt + squareSurface + srtContext + stackTrace + startString + startsWith + stitchAndExplodeShell + stitchSurface + stitchSurfacePoints + strcmp + stringArrayCatenate + stringArrayContains + stringArrayCount + stringArrayInsertAtIndex + stringArrayIntersector + stringArrayRemoveAtIndex + stringArrayRemoveDuplicates + stringArrayRemoveExact + stringArrayRemove + stringArrayToString + stringToStringArray + strip + stripPrefixFromName + stroke + subdAutoProjection + subdCleanTopology + subdCollapse + subdDuplicateAndConnect + subdEditUV + subdivCrease + subdivDisplaySmoothness + subdiv + subdListComponentConversion + subdMapCut + subdMapSewMove + subdMatchTopology + subdMirror + subdToBlind + subdToPoly + subdTransferUVsToCache + substituteAllString + substituteGeometry + substitute + substring + surface + surfaceSampler + surfaceShaderList + swatchDisplayPort + switchTable + SymbolsButton + SymbolsCheckBox + symmetricModelling + sysFile + system + tabLayout + tangentConstraint + tan + texLatticeDeformContext + texManipContext + texMoveContext + texMoveUVShellContext + texRotateContext + texScaleContext + texSelectContext + texSelectShortestPathCtx + texSmudgeUVContext + textCurves + textFieldButtonGrp + textFieldGrp + textField + text + textManip + textScrollList + textToShelf + textureDisplacePlane + textureHairColor + texturePlacementContext + textureWindow + texWinToolCtx + threadCount + threePointArcCtx + timeControl + timePort + timerX + toggleAxis + toggle + toggleWindowVisibility + tokenize + tokenizeList + tolerance + tolower + toNativePath + toolButton + toolCollection + toolDropped + toolHasOptions + toolPropertyWindow + torus + toupper + trace + trackCtx + track + transferAttributes + transformCompare + transformLimits + translator + trim + truncateFluidCache + truncateHairCache + trunc + tumbleCtx + tumble + turbulence + twoPointArcCtx + uiRes + uiTemplate + unassignInputDevice + undo + undoInfo + ungroup + uniform + unit + unloadPlugin + untangleUV + untitledFileName + untrim + upAxis + updateAE + userCtx + uvLink + uvSnapshot + validateShelfName + vectorize + view2dToolCtx + viewCamera + viewClipPlane + viewFit + viewHeadOn + viewLookAt + viewManip + viewPlace + viewSet + visor + volumeAxis + vortex + waitCursor + warning + webBrowser + webBrowserPrefs + whatIs + window + windowPref + wireContext + wire + workspace + wrinkleContext + wrinkle + writeTake + xbmLangPathList + xform + xpmPicker + + + int + string + float + vector + matrix + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/nasm.xml b/kate/data/nasm.xml index 17e435fa4..6cbc555c8 100644 --- a/kate/data/nasm.xml +++ b/kate/data/nasm.xml @@ -6,6 +6,8 @@ Created: Nicola Gigante Changes: Bogdan Drozdowski (bogdandr@op.pl) Version: 1.3 + Lyle Putnam (lcputnam@gmail.com) + Version: 1.4 Changes from 1.0: 15/01/2006: version 1.1 @@ -18,6 +20,9 @@ Changes from 1.0: Corrections in register list and a few new ones (not 64-bit). Changed regexes for hex, octal and bin numbers. Now words like "change" and "blah" will NOT have the "ch" and "ah" coloured. + 24/07/2011: Version 1.4 from Lyle Putnam (lcputnam@gmail.com): + The above change to fix the regex for hex numbers was incorrect on Kate + 3.6.2. Fixed. GNU GENERAL PUBLIC LICENCE @@ -35,7 +40,7 @@ You should have received a copy of the GNU General Public License along with this program; if not, write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA --> - + @@ -845,7 +850,7 @@ with this program; if not, write to the Free Software Foundation, Inc., - + diff --git a/kate/data/objectivecpp.xml b/kate/data/objectivecpp.xml index fc912ca1e..bf038d4a2 100644 --- a/kate/data/objectivecpp.xml +++ b/kate/data/objectivecpp.xml @@ -1,6 +1,6 @@ - + break @@ -79,9 +79,6 @@ or_eq xor xor_eq - except - finally - xalloc K_DCOP diff --git a/kate/data/oors.xml b/kate/data/oors.xml new file mode 100644 index 000000000..f36a68337 --- /dev/null +++ b/kate/data/oors.xml @@ -0,0 +1,91 @@ + + + + + + allow + apply + copy + condition + deny + extends + graph + linear + profile + rule + ruleset + search + unsafe + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/pango.xml b/kate/data/pango.xml new file mode 100644 index 000000000..3eb8c8b1a --- /dev/null +++ b/kate/data/pango.xml @@ -0,0 +1,179 @@ + + + + + + + + <span + <b + <big + <i + <s + <sub + <sup + <small + <tt + <u + + + + </span> + </b> + </big> + </i> + </s> + </sub> + </sup> + </small> + </tt> + </u> + + + + size= + font_size= + rise= + letter_spacing= + + + + font= + font_desc= + font_family= + face= + lang= + + + + strikethrough_color= + foreground= + fgcolor= + color= + background= + bgcolor= + underline_color= + + + + 'aqua' + 'black' + 'blue' + 'cyan' + 'fuchsia' + 'gray' + 'green' + 'lime' + 'maroon' + 'navy' + 'olive' + 'purple' + 'red' + 'silver' + 'teal' + 'white' + 'yellow' + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/perl.xml b/kate/data/perl.xml index 0b7250457..71b04ad9f 100644 --- a/kate/data/perl.xml +++ b/kate/data/perl.xml @@ -39,7 +39,7 @@ Enhance tr/// and y/// support. --> - + if @@ -85,6 +85,7 @@ **= |= ||= + //= &= &&= ?= @@ -94,6 +95,7 @@ % || + // && | & @@ -362,8 +364,9 @@ - - + + + @@ -661,7 +664,7 @@ - + @@ -679,7 +682,7 @@ - + @@ -762,10 +765,10 @@ - - - - + + + + diff --git a/kate/data/php.xml b/kate/data/php.xml index 879e2ce23..a5c7d5718 100644 --- a/kate/data/php.xml +++ b/kate/data/php.xml @@ -2,6 +2,33 @@ - - + - + - - + + + + + + + + + + + + + + + + + + + + + + - + + + + - + - - - - - - - - - + + + + + + + + + - - - - + + + + - + - - + + @@ -6578,6 +5006,6 @@ Changes: - + - \ No newline at end of file + diff --git a/kate/data/python.xml b/kate/data/python.xml index 4207ca8a2..31f5a0dde 100644 --- a/kate/data/python.xml +++ b/kate/data/python.xml @@ -4,7 +4,16 @@ - + + + + + + + + import @@ -17,10 +26,10 @@ del global lambda + nonlocal and - assert in is not @@ -31,6 +40,7 @@ print + assert break continue elif @@ -44,6 +54,7 @@ return try while + with yield @@ -55,6 +66,7 @@ any apply basestring + bin bool buffer callable @@ -74,11 +86,13 @@ file filter float + format frozenset getattr globals hasattr hash + help hex id input @@ -94,6 +108,7 @@ map max min + next object oct open @@ -130,6 +145,8 @@ False NotImplemented Ellipsis + __debug__ + __file__ SIGNAL @@ -153,6 +170,79 @@ __hash__ __nonzero__ __unicode__ + __getattr__ + __setattr__ + __delattr__ + __getattribute__ + __get__ + __set__ + __delete__ + __call__ + __len__ + __getitem__ + __setitem__ + __delitem__ + __iter__ + __reversed__ + __contains__ + __getslice__ + __setslice__ + __delslice__ + __add__ + __sub__ + __mul__ + __floordiv__ + __mod__ + __divmod__ + __pow__ + __lshift__ + __rshift__ + __and__ + __xor__ + __or__ + __div__ + __truediv__ + __radd__ + __rsub__ + __rmul__ + __rdiv__ + __rtruediv__ + __rfloordiv__ + __rmod__ + __rdivmod__ + __rpow__ + __rlshift__ + __rrshift__ + __rand__ + __rxor__ + __ror__ + __iadd__ + __isub__ + __imul__ + __idiv__ + __itruediv__ + __ifloordiv__ + __imod__ + __ipow__ + __ilshift__ + __irshift__ + __iand__ + __ixor__ + __ior__ + __neg__ + __pos__ + __abs__ + __invert__ + __complex__ + __int__ + __long__ + __float__ + __oct__ + __hex__ + __index__ + __coerce__ + __enter__ + __exit__ ArithmeticError @@ -203,6 +293,7 @@ + @@ -216,150 +307,187 @@ - - - - - - - - + + + + + + + + + - - + + - - - + + + + + + + + + + - - - - - - + + + + + + - + + + + + + + + + + + - + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + - - - + + - - - + + - - - + + - - - + + - - - - - - - - - - - + - - + - - + - - + - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - + + + + + + + + + + diff --git a/kate/data/qmake.xml b/kate/data/qmake.xml new file mode 100644 index 000000000..d267033c4 --- /dev/null +++ b/kate/data/qmake.xml @@ -0,0 +1,123 @@ + + + + + + + CONFIG + DEFINES + DESTDIR + DLLDESTDIR + FORMS + HEADERS + INCLUDEPATH + INSTALLS + LIBS + OBJECTIVE_SOURCES + OTHER_FILES + OUT_PWD + QMAKE_CXXFLAGS + QMAKE_EXTRA_COMPILERS + QMAKE_FILE_IN + QMAKE_FILE_OUT + QMAKE_LFLAGS + QMAKE_LFLAGS_SONAME + QMAKE_RPATHDIR + QMAKE_SUBSTITUTES + QT + QT_CONFIG + QT_MAJOR_VERSION + QT_MINOR_VERSION + QT_PATCH_VERSION + RCC_DIR + RESOURCES + SOURCES + SUBDIRS + TARGET + TEMPLATE + UI_DIR + MOC_DIR + OBJECTS_DIR + + + contains + defineReplace + defineTest + equals + error + eval + greaterThan + include + isEmpty + isEqual + message + return + unset + + + build_pass + debug + debug_and_release + linux + macx + msvc + release + unix + win32 + + + else + for + if + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/qml.xml b/kate/data/qml.xml new file mode 100644 index 000000000..e5a0c8be0 --- /dev/null +++ b/kate/data/qml.xml @@ -0,0 +1,152 @@ + + + + + + + + State + PropertyChanges + StateGroup + ParentChange + StateChangeScript + AnchorChanges + PropertyAnimation + NumberAnimation + ColorAnimation + SequentialAnimation + ParallelAnimation + PauseAnimation + PropertyAction + ParentAction + ScriptAction + Transition + SpringFollow + EaseFollow + Behavior + Binding + ListModel + ListElement + VisualItemModel + XmlListModel + XmlRole + DateTimeFormatter + NumberFormatter + Script + Connection + Component + Timer + QtObject + Item + Rectangle + Image + BorderImage + Text + TextInput + TextEdit + MouseArea + FocusScope + Flickable + Flipable + WebView + Loader + Repeater + SystemPalette + GraphicsObjectContainer + LayoutItem + ListView + GridView + PathView + Path + PathLine + PathQuad + PathCubic + PathAttribute + PathPercent + Column + Row + Grid + Scale + Rotation + Blur + Colorize + DropShadow + Opacity + Particles + ParticleMotionLinear + ParticleMotionGravity + ParticleMotionWander + + Gradient + GradientStop + MouseRegion + + + + string + int + bool + date + color + url + real + double + var + variant + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/r.xml b/kate/data/r.xml index ada76ece5..bfb336f14 100644 --- a/kate/data/r.xml +++ b/kate/data/r.xml @@ -8,7 +8,7 @@ R : http://www.r-project.org/ RKWard : http://rkward.sourceforge.net/ --> - + @@ -77,6 +77,15 @@ + + + + + + + + + @@ -95,21 +104,12 @@ - - - - - - - - - - - - - - - + + + + + + @@ -143,6 +143,6 @@ - + diff --git a/kate/data/relaxng.xml b/kate/data/relaxng.xml new file mode 100644 index 000000000..974f37ff5 --- /dev/null +++ b/kate/data/relaxng.xml @@ -0,0 +1,117 @@ + + + +]> + + + + + + + anyName + attribute + choice + data + define + div + element + empty + except + externalRef + grammar + group + include + interleave + list + mixed + name + notAllowed + nsName + oneOrMore + optional + param + parentRef + ref + start + text + value + zeroOrMore + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/relaxngcompact.xml b/kate/data/relaxngcompact.xml new file mode 100644 index 000000000..a44012fba --- /dev/null +++ b/kate/data/relaxngcompact.xml @@ -0,0 +1,108 @@ + + + + + + default + datatypes + div + empty + external + grammar + include + inherit + list + mixed + namespace + notAllowed + parent + start + token + + + attribute + element + + + string + text + xsd:anyURI + xsd:base64Binary + xsd:boolean + xsd:byte + xsd:date + xsd:dateTime + xsd:decimal + xsd:double + xsd:duration + xsd:ENTITIES + xsd:ENTITY + xsd:float + xsd:gDay + xsd:gMonth + xsd:gMonthDay + xsd:gYear + xsd:gYearMonth + xsd:hexBinary + xsd:ID + xsd:IDREF + xsd:IDREFS + xsd:int + xsd:integer + xsd:language + xsd:long + xsd:Name + xsd:NCName + xsd:negativeInteger + xsd:NMTOKEN + xsd:NMTOKENS + xsd:nonNegativeInteger + xsd:nonPositiveInteger + xsd:normalizedString + xsd:NOTATION + xsd:positiveInteger + xsd:QName + xsd:short + xsd:string + xsd:time + xsd:token + xsd:unsignedByte + xsd:unsignedInt + xsd:unsignedLong + xsd:unsignedShort + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/rest.xml b/kate/data/rest.xml new file mode 100644 index 000000000..a97524ec7 --- /dev/null +++ b/kate/data/rest.xml @@ -0,0 +1,62 @@ + + + + +]> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/rpmspec.xml b/kate/data/rpmspec.xml index dc21ca3b5..a0b774c6c 100644 --- a/kate/data/rpmspec.xml +++ b/kate/data/rpmspec.xml @@ -1,134 +1,505 @@ + + + + + + ]> - + + + - + + + Mon + Tue + Wed + Thu + Fri + Sat + Sun + + + + Jan + Feb + Mar + Apr + May + Jun + Jul + Aug + Sep + Oct + Nov + Dec + + + + 1 + 2 + 3 + 4 + 5 + 6 + 7 + 8 + 9 + 01 + 02 + 03 + 04 + 05 + 06 + 07 + 08 + 09 + 10 + 11 + 12 + 13 + 14 + 15 + 16 + 17 + 18 + 19 + 20 + 21 + 22 + 23 + 24 + 25 + 26 + 27 + 28 + 29 + 30 + 31 + + - + + - + + + + + + + + - + - + + + + + - - + + + + + + + + + - - - - - - + + + + + + + + - + + + + + + + + + - - + + + - - + + + - + + - - + + + + + + - - - - + + - - + + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + + + + - - - - + + + + - + - + + - + + + - + - - - + + + + + + - + + + + + + + + + - + - + - + - + + + + + - + diff --git a/kate/data/ruby.xml b/kate/data/ruby.xml index c59f01529..4b34e8065 100644 --- a/kate/data/ruby.xml +++ b/kate/data/ruby.xml @@ -3,10 +3,11 @@ + author="Stefan Lang (langstefan@gmx.at), Sebastian Vuorinen (sebastian.vuorinen@helsinki.fi), Robin Pedersen (robinpeder@gmail.com), Miquel Sabaté (mikisabate@gmail.com)" license="LGPL"> @@ -67,7 +67,6 @@ unless until when - while yield @@ -161,6 +160,7 @@ readline readlines require + require_relative scan select set_trace_func @@ -179,13 +179,7 @@ untrace_var warn - - - TODO - FIXME - NOTE - - + @@ -201,7 +195,7 @@ - + @@ -302,7 +296,7 @@ - + @@ -383,6 +377,7 @@ + @@ -425,11 +420,11 @@ - + - + @@ -448,22 +443,18 @@ - - - - @@ -485,7 +476,15 @@ - + + + + + + + + + @@ -899,7 +898,6 @@ - diff --git a/kate/data/sed.xml b/kate/data/sed.xml new file mode 100644 index 000000000..095d440a1 --- /dev/null +++ b/kate/data/sed.xml @@ -0,0 +1,267 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/sql-mysql.xml b/kate/data/sql-mysql.xml index 4c0a99706..3a260fe74 100644 --- a/kate/data/sql-mysql.xml +++ b/kate/data/sql-mysql.xml @@ -5,7 +5,7 @@ original by Shane Wright (me@shanewright.co.uk) modifications by Milian Wolff (mail@milianw.de) --> - + ACCESS @@ -381,6 +381,7 @@ + @@ -391,16 +392,12 @@ + + - - - - - - - - + + @@ -412,7 +409,7 @@ - + @@ -449,19 +446,20 @@ - - - - - - - + + + + + + + + - - + + - - + + @@ -473,4 +471,4 @@ - + diff --git a/kate/data/sql-postgresql.xml b/kate/data/sql-postgresql.xml index cae648c0e..2c72c9391 100644 --- a/kate/data/sql-postgresql.xml +++ b/kate/data/sql-postgresql.xml @@ -1,7 +1,7 @@ - + ABORT @@ -649,7 +649,7 @@ PATH POINT POLYGON - + BROADCAST HOST MASKLEN @@ -731,6 +731,8 @@ + + @@ -754,10 +756,18 @@ - + + + + + + + + + - + diff --git a/kate/data/sql.xml b/kate/data/sql.xml index 70a9f11ce..5a98ae2d7 100644 --- a/kate/data/sql.xml +++ b/kate/data/sql.xml @@ -3,7 +3,7 @@ - + ACCESS @@ -884,20 +884,21 @@ + + - - - - - - - - + + + + + + + @@ -931,6 +932,7 @@ + diff --git a/kate/data/stata.xml b/kate/data/stata.xml index c8eb2499f..64c8eaf26 100644 --- a/kate/data/stata.xml +++ b/kate/data/stata.xml @@ -1,472 +1,3225 @@ - + + - - about - ado + + + + addlabels + addlabopts + addplot + bar + barwidth + bin + blabel + caption + center + circle + circle_hollow + color + cols + combine + dot + draw + dropline + frequency + grid + hbar + imargin + labsize + legend + margin + medthick + mlabangle + mlabcolor + mlabel + mlabgap + mlabposition + mlabsize + mlabstyle + mlabtextstyle + mlabvposition + msymbol + name + nodraw + nogrid + over + plotregion + position + ring + rows + scale + size + start + subtitle + t1title + t2title + text + textsize + title + xcommon + xlabel + xline + xscale + xsize + xtitle + ycommon + ylabel + yline + yscale + ysize + ytitle + zero + + + + background + bg + black + blue + bluishgray + brown + cranberry + cyan + dimgray + dkgreen + dknavy + dkorange + ebblue + ebg + edkblue + eggshell + eltblue + eltgreen + emerald + emidblue + erose + fg + foreground + forest_green + gold + gray + green + gs + khaki + lavender + lime + ltblue + ltbluishgray + ltkhaki + magenta + maroon + midblue + midgreen + mint + navy + none + olive + olive_teal + orange + orange_red + pink + purple + red + sand + sandb + sienna + stone + teal + white + yellow + + + + accum + as + ascending + BASE + be + begin + bfgs + bhhh + brrweight + clean + close + clpatt [ern] + clwidth + cole + color + confirm + continue + cov(unstr) + cov(unstructured) + covariance + dash + define + deft + delmacs + detail + dev + deviations + dfp + difficult + dirname + do + effects + eform + else + emdots + emiterate + emlog + emonly + emtolerance + end + error + estmetric + exchangeable + exit + family + fe + fitted + force + foreach + forvalues + fpc + frequency + function + global + gtolerance + hessian + ic + identity + if + in + independent + intpoints + irr + iterate + jkrweight + lincom + linearized + local + long + ltolerance + macro + manage + meff + meft + minimize + mle + mse + multiplier + noclear + nocons + noconstant + nodots + nofetable + nogroup + noheader + nolog + nolrtest + none + nonrtolerance + noobs + noomit + noretable + nostderr + not + nr + of + offset + or + p + parse + patterns + poststrata + postweight + prefix + program + r2_p + reffects + reml + reset + resid + run + scale + shift + showstep + SITE + size + star + stats + STBPLUS + stfmt + store + strata + syntax + tempfile + tempname + tempvar + tokenize + tolerance + unstructured + until + UPDATES + weight + while + wide + window + x2 + xb + + _b[ + _coeff[ + + ereturn + rreturn + sreturn + + e( + r( + s( + + + + all + BASE + coleq + colfullnames + coln + colnames + constraint + data + dir + display + environment + format + l + label + length + list + nobreak + nofail + permname + PERSONAL + piece + PLUS + quoted + row + roweq + rowfullnames + rown + rownames + SITE + sortedby + STATA + strict + sysdir + tempfile + tempvar + tsnorm + UPDATES + value + variable + + + + allstring + append + args + aweight + born + by + bys + bysort + byte + capture + cfreq + clear + Cns + Co + collinear + columns + comma + compress + connect + console + cpercent + cr + d0 + data + datestring + decode + delimit + depnames + desc + describe + di + diparm_options + display + double + drop + eclass + encode + esample + Ev + exec + fam + fdadescribe + fdasave + fdause + filefilter + float + format + fweight + gen + generate + gr [een] + gradient + hold + include + insert + insheet + int + iweight + k + keep + label + Ld + link + load + long + longstub + macrolen + markout + marksample + maximize + meanonly + mlmatsbysum + mlout + mode + model + more + N + namelen + noextend + nofootnote + noi + noisily + nolabel + nonotes + nopreserve + norescale + noscvars + nosummary + nototal + nrtolerance + obs + odbc + off + oim + on + oneway + opg + order + outfile + outsheet + percent + permanently + post + postclose + postfile + preserve + Psi + pweight + query + qui + quietly + rawsum + red + ren + rename + replace + Replay + report + repost + restore + robust + save + saving + SD + SE + search + set + shownrtolerance + sort + sqlfile + sqlshow + STATA + statistics + sum + summarize + t1title + t2title + tab + tabulate + technique + timer + total + unhold + unique + uniquemaster + uniqusing + use + using + V + values + variable + varlist + VCE + waldtest + width + xlabel + xmlsave + xmluse + yellow + ylabel + yline + + + + + + abs + acos + asin + atan + atan2 + atanh + ceil + cloglog + comb + cos + digamma + exp + floor + invcloglog + invlogit + ln + lnfact + lnfactorial + lngamma + log + log10 + max + min + mod + reldif + round + sign + sin + sqrt + sum + tan + tanh + trigamma + trunc + + + + betaden + Binomial + binorm + binormal + chi2 + chi2tail + dgammapda + dgammapdada + dgammapdadx + dgammapdx + dgammapdxdx + F + Fden + Ftail + gammaden + gammap + ibeta + invbinomial + invchi2 + invchi2tail + invF + invFtail + invgammap + invibeta + invnchi2 + invnFtail + invnibeta + invnorm + invnormal + invttail + nbetaden + nchi2 + nFden + nFtail + nibeta + norm + normal + normalden + normd + npnchi2 + tden + ttail + uniform() + + + + abbrev + char + index + indexnot + length + lower + ltrim + match + plural + proper + real + regexm + regexr + regexs + reverse + rtrim + string + strlen + strlower + strltrim + strmatch + strofreal + strpos + strproper + strreverse + strrtrim + strtrim + strupper + subinstr + subinword + substr + trim + upper + word + wordcount + + + + _caller + autocode + byteorder + chop + clip + cond + e + epsdouble + epsfloat + group + inlist + inrange + irecode + matrix + maxbyte + maxdouble + maxfloat + maxint + maxlong + mi + minbyte + mindouble + minfloat + minint + minlong + missing + r + recode + replay + return + s + scalar + + + + d + date + day + dow + doy + halfyear + mdy + month + quarter + week + year + + + + d + daily + dofd + dofh + dofm + dofq + dofw + dofy + h + halfyearly + hofd + m + mofd + monthly + q + qofd + quarterly + tin + twithin + w + weekly + wofd + y + yearly + yh + ym + yofd + yq + yw + + + + cholesky + colnumb + colsof + corr + det + diag + diag0cnt + el + get + hadamard + I + inv + invsym + issym + issymmetric + J + matmissing + matuniform + mreldif + nullmat + rownumb + rowsof + sweep + syminv + trace + vec + vecdiag + + + + anycount + anymatch + anyvalue + at + concat + count + cut + diff + ends + field + fill + group + groupicodes + head + iqr + kurt + label + last + lname + mad + max + maxlength + maxmode + mdev + mean + median + min + minmode + missing + mode + mtr + nummodemissing + pc prop + pctile + punct + rank + rowfirst + rowlast + rowmax + rowmean + rowmin + rowmiss + rownonmiss + rowsd + rowtotal + sd + seq + skew + std + strok + tag + tail + total + track + trim + truncate + + + + _all + _column + _cons + _dta + _dup + _merge + _n + _newline + _pi + _rc + creturn + c(adopath) + c(adosize) + c(ALPHA) + c(born_date) + c(byteorder) + c(changed) + c(checksum) + c(cmdlen) + c(console) + c(copycolor) + c(current_date) + c(current_time) + c(dirsep) + c(dockable) + c(dockingguides) + c(dp) + c(epsdouble) + c(epsfloat) + c(filedate) + c(filename) + c(flavor) + c(graphics) + c(httpproxy) + c(httpproxyauth) + c(httpproxyhost) + c(httpproxyport) + c(httpproxypw) + c(httpproxyuser) + c(k) + c(level) + c(linegap) + c(linesize) + c(locksplitters) + c(logtype) + c(machine_type) + c(macrolen) + c(matacache) + c(matafavor) + c(matalibs) + c(matalnum) + c(matamofirst) + c(mataoptimize) + c(matastrict) + c(matsize) + c(max_cmdlen) + c(max_k_current) + c(max_k_theory) + c(max_macrolen) + c(max_matsize) + c(max_N_current) + c(max_N_theory) + c(max_width_current) + c(max_width_theory) + c(maxbyte) + c(maxdb) + c(maxdouble) + c(maxfloat) + c(maxint) + c(maxiter) + c(maxlong) + c(maxstrvarlen) + c(maxvar) + c(memory) + c(min_matsize) + c(minbyte) + c(mindouble) + c(minfloat) + c(minint) + c(minlong) + c(mode) + c(Mons) + c(Months) + c(more) + c(N) + c(namelen) + c(os) + c(osdtl) + c(pagesize) + c(persistfv) + c(persistvtopic) + c(pi) + c(printcolor) + c(pwd) + c(rc) + c(reventries) + c(rmsg_time) + c(rmsg) + c(scheme) + c(scrollbufsize) + c(SE) + c(searchdefault) + c(seed) + c(stata_version) + c(sysdir_base) + c(sysdir_oldplace) + c(sysdir_personal) + c(sysdir_plus) + c(sysdir_site) + c(sysdir_stata) + c(sysdir_updates) + c(timeout1) + c(timeout2) + c(trace) + c(tracedepth) + c(traceexpand) + c(tracehilite) + c(traceindent) + c(tracenumber) + c(tracesep) + c(type) + c(update_interval) + c(update_prompt) + c(update_query) + c(varabbrev) + c(varlabelpos) + c(version) + c(virtual) + c(Wdays) + c(Weekdays) + c(width) + c(xptheme) + + + + adosize + checksum + copycolor + dockable + dockingguides + dp + graphics + httpproxy + httpproxyauth + httpproxyhost + httpproxyport + httpproxypw + httpproxyuser + level + linegap + linesize + locksplitters + logtype + matacache + matafavor + matalibs + matalnum + matamofirst + mataoptimize + matastrict + matsize + maxdb + maxiter + maxvar + memory + more + pagesize + persistfv + persistvtopic + printcolor + reventries + rmsg + scheme + scrollbufsize + searchdefault + seed + timeout1 + timeout2 + trace + tracedepth + traceexpand + tracehilite + traceindent + tracenumber + tracesep + type + update_interval + update_prompt + update_query + varabbrev + varlabelpos + version + virtual + xptheme + + + + + _a_cls_msg + _addgph + _assert + _assert_mreldif + _assert_mreldifp + _assert_mreldifs + _assert_obs + _assert_streq + _at + _bigtab + _binperfect + _binperfout + _biplotmat + _brr_sum + _bs_display + _bs_sum + _btcmd + _byoptnotallowed + _ca_parse_normalize + _callerr + _cci + _check_eformopt + _check4gropts + _choice_table + _ckirfset + _cknotsvaroi + _ckvec + _clsarr2list + _cmdxel + _coef_table + _coef_table_header + _confirm_date + _confirm_number_or_date + _copy_mat_stripes + _cpmatnm + _crc2use + _crc4fld + _crcacnt + _crcar1 + _crcause + _crcbin + _crcbygr + _crcchi2 + _crcchkw + _crccip + _crceprs + _crcgldv + _crcglil + _crcird + _crcirr + _crcmeq + _crcnuse + _crcor + _crcphdr + _crcra + _crcrd + _crcrr + _crcseq + _crcshdr + _crcslbl + _crcsrvc + _crcswxx + _crcunab + _crcunit + _crcvarl + _crcwsrv + _crczsku + _cvar + _date2elapsed + _diag2mat + _diparm + _diparm_8 + _dots + _e2r + _egennoby + _evlist + _exp_list_expand + _exp_list_parse + _find_tsops + _fr_area_parse_and_log + _fr_aspect_parse_and_log + _fr_draw_rect + _fr_droplines_draw + _fr_erasearr + _fr_legend_parse_and_log + _fr_merged_implicit + _fr_runlog + _fr_sztextbox_parse_and_log + _fr_tbstyle_parse_and_log + _fr_tedits_parse_and_log + _fr_textbox_parse_and_log + _fr_title_parse_and_log + _fr_x_log_cleanup + _fr_x_log_create + _fracpp + _fracxo + _frr_sztextbox_pnl + _gany + _ganycount + _ganymatch + _ganyvalue + _gconcat + _gcount + _gcut + _gdiff + _gends + _geqany + _get_diparmopts + _get_diparmopts_8 + _get_eformopts + _get_eqspec + _get_gropts + _get_irf + _get_offopt + _getbv + _getcovcorr + _getfilename + _getnewlabelname + _getrhs + _getvarcns + _getxel + _getxel2 + _gfill + _ggroup + _giqr + _gkurt + _gm_edit + _gm_log + _gma + _gmad + _gmax + _gmdev + _gmean + _gmedian + _gmin + _gmode + _gmtr + _gneqany + _gpc + _gpctile + _gr_arrowhead + _gr_atomize_styles + _gr_common_axes + _gr_drawrect + _gr_linkstyles + _gr_symbol_of + _grank + _grfirst + _grlast + _grmax + _grmean + _grmin + _grmiss + _grobs + _growfirst + _growlast + _growmax + _growmean + _growmin + _growmiss + _grownonmiss + _growsd + _growtotal + _grsd + _grsum + _gs_addgrname + _gs_bygraph + _gs_clean_graphlist + _gs_default_bands + _gs_islivefile + _gs_parse_and_log_axoptions + _gs_parse_and_log_axtitle + _gs_parse_and_log_lines + _gs_parse_and_log_tickset + _gs_rdfilehdr + _gs_wrfilehdr + _gs_x_create + _gsd + _gseq + _gskew + _gstd + _gsum + _gtag + _gtotal + _hadamard_verify + _hw_comp + _hw_opt_d0 + _hwsa_comp + _hwsa_opt_d0 + _hwsm_comp + _hwsm_opt_d0 + _isfit + _ivreg_project + _jk_nlegend + _jk_pseudo + _jk_sum + _labels2names + _linemax + _loop_brr + _loop_jknife + _loop_jknife_fw + _loop_jknife_iw + _loop_jknife2 + _loop_rw + _lrtest7 + _m2matrix + _m2scalar + _matplot + _matsort + _mdisplay + _mds_classical + _mds_dataheader + _mds_display + _mds_display_classical + _mds_euclidean + _mds_parse_dopts + _mds_parse_method + _mds_parse_s2d + _mds_s2d + _me_der + _me_der2 + _me_derb + _me_derd + _me_l_der + _me_l_der2 + _mfrmvec + _mka2 + _mkg + _mkkmn + _mksigma + _mkvec + _mprobitestimator + _mtest + _mvec + _no_estat + _no_predict + _nobs + _on_colon_parse + _parmlist + _parse_optexp + _parsewt + _pk_p + _plotpos + _pred_me + _pred_se + _prefix_check4esample + _prefix_checkopt + _prefix_clear + _prefix_command + _prefix_display + _prefix_expand + _prefix_explist + _prefix_footnote + _prefix_getchars + _prefix_getmat + _prefix_legend + _prefix_mlogit + _prefix_model_test + _prefix_note + _prefix_reject + _prefix_relabel_eqns + _prefix_run_error + _prefix_saving + _prefix_title + _prefix_vcenotallowed + _qsort_index + _qsur + _r2e + _repost + _resample_warn + _restore_labels + _returnclear + _rmdcoll + _robust2 + _roccom1 + _roccom1_8 + _rocsen + _rotate_clear + _rotate_text + _score_spec + _set_irf_vars + _shortenpath + _sigfm + _small2dotz + _stata_internalerror + _stcurv + _strip_labels + _sttrend + _stubstar2names + _sum_table + _sumaccum + _sunflower_binar + _svar_cnsmac + _svar_eqmac + _svar_newcns + _svar_post + _svard2 + _svariden + _svaridenlr + _svarlrd2 + _svd + _svy_check_cmdopts + _svy_check_fpc + _svy_check_postw + _svy_check_predict + _svy_check_vce + _svy_fpc_note + _svy_ftest + _svy_ivreg_first + _svy_mtdeff + _svy_mkmeff + _svy_mkvmsp + _svy_mkvsrs + _svy_newrule + _svy_setup + _svy_singleton_note + _svy_subpop + _svy_subpop_note + _svy_summarize + _svy_summarize_legend + _svy_tabulate + _svy2 + _svydes_dlg + _svylc + _svyset + _sw_ood + _ts + _ts_dexp + _ts_exp + _ts_hw + _ts_hwsa + _ts_hwsm + _tsheadr + _tsinchk + _tsma + _ttest + _ttest1 + _ttest2 + _tutends + _var_mka + _varbsf + _vardisprmse + _varfcast + _varfcast_clear + _varfcast_fcast + _varfcast_graph + _varirf + _varsim + _vce_parserun + _vec_ckgraph + _vec_dreduced + _vec_grcroots + _vec_opck + _vec_pgparse + _vec_pgridplots + _vec_postvar + _vecauxdisp + _vecfcast_compute + _vecfcast_compute_w + _vecgetacns + _vecgetcv + _vecgtn + _vecmka + _vecmkapvp + _vecmkce + _vecmkgam + _vecmksi + _vecmktrend + _vecortho + _vecpclean + _vectparse + _vecu + _virf_add + _virf_char + _virf_fck + _virf_mknewfile + _virf_nlen + _virf_use + _writenum + _xtreg_chk_cl + + ac + ac_7 + acprplot + acprplot_7 adjust + adopath alpha - anova - anovadef - append - assert + ameans + anova_estat + anova_terms + aorder arch + arch_dr + arch_estat + arch_p + archlm areg - args + areg_p arima - bar + arima_dr + arima_estat + arima_p + asmprobit + asmprobit_estat + asmprobit_lf + asmprobit_p + avplot + avplot_7 + avplots + avplots_7 + bcskew0 + bgodfrey binreg + bip0_lf + biplot + bipp_lf + bipr_lf + bipr_p biprobit bitest + bitesti + bitowt + blogit + bmemsize + boot + bootsamp + bootstrap + bootstrap_8 + boxco_l + boxco_p boxcox + boxcox_6 + boxcox_p + bprobit brier - break - browse + brr + brrstat + bs + bs_7 + bsampl_w + bsample + bsample_7 + bsqreg + bstat + bstat_7 + bstat_8 bstrap - by + bstrap_7 + ca + ca_estat + ca_p + cabiplot + camat canon - cap - capture - cat - cd + canon_8 + canon_8_p + canon_estat + canon_p + caprojection + cc + cchart + cchart_7 + cci + censobs_table centile cf - checksum + checkdlgfiles + checkhlpfiles ci - clavg - class - clist - clcomp + cii + classutil clear - clgen - clkmeans - clkmed - clnote + clo + clog + clog_lf + clog_p + clogi + clogi_sw clogit + clogit_lf + clogit_p + clogitp + clogl_sw cloglog - clsing - cltree + clonevar + clslistarray cluster - clutil - cmdlog + cluster_measures + cluster_stop + cluster_tree + cluster_tree_8 + clustermat + cnr + cnre cnreg + cnreg_p + cnreg_sw cnsreg - creturn codebook + collaps4 collapse + colormult_nb + colormult_nw compare - compress - confirm - constraint + conren contract - continue - copy copyright + copysource + corc + corr_anti + corr_kmo + corr_smc corr2data - corr - correlate corrgram - count - cox + cox_p + cox_sw + coxbase + coxhaz + coxvar + cprplot + cprplot_7 + crc cross + cs + cscript + cscript_log + csi ct + ct_is ctset + ctst_5 + ctst_st cttost cumsp + cumsp_7 cumul cusum - datatypes - decode - define + cusum_7 + cutil + + d + datetof + db + dbeta + de + deff + des + desc + descr + descri + describ describe destring + dfbeta + dfgls dfuller - diagplots - dir - discard - di - display - do - doedit + dirstats + disp_res + disp_s dotplot + dotplot_7 + dprobit drawnorm - drop + ds + ds_util dstdize - edit + duplicates + durbina + dwstat + dydx egen eivreg - else - encode - end - epitab - erase - ereturn - exit - expand - export + emdef + eq + ereg + ereg_lf + ereg_p + ereg_sw + ereghet + ereghet_glf + ereghet_glf_sh + ereghet_gp + ereghet_ilf + ereghet_ilf_sh + ereghet_ip + est + est_cfexist + est_cfname + est_clickable + est_expand + est_hold + est_table + est_unhold + est_unholdok + estat + estat_default + estat_summ + estat_vce_only + esti + estimates + etodow + etof + etomdy + expandcl + fac + fact + facto factor - fdadescribe - fdasave - fdause + factor_estat + factor_p + factor_pca_rotated + factor_rotate + factormat + fcast + fcast_compute + fcast_graph + fh_st fillin - file - filefilter - flist + find_hlp_file + findfile + findit + findit_7 + fit for - foreach - forv - forval - forvalues - format + for5_0 + fpredict + frac_154 + frac_adj + frac_chk + frac_cox + frac_ddp + frac_dis + frac_dv + frac_in + frac_mun + frac_pp + frac_pq + frac_pv + frac_wgt + frac_xo + fracgen + fracplot + fracplot_7 fracpoly - g - gen - generate - gettoken + fracpred + fron_ex + fron_hn + fron_p + fron_tn + fron_tn2 + frontier + ftodate + ftoe + ftomdy + ftowdate + + gamhet_glf + gamhet_gp + gamhet_ilf + gamhet_ip + gamma + gamma_d2 + gamma_p + gamma_sw + gammahet + gdi_hexagon + gdi_spokes + genrank + genstd + genvmean + gladder + gladder_7 + glim_l01 + glim_l02 + glim_l03 + glim_l04 + glim_l05 + glim_l06 + glim_l07 + glim_l08 + glim_l09 + glim_l10 + glim_l11 + glim_l12 + glim_lf + glim_mu + glim_nw1 + glim_nw2 + glim_nw3 + glim_p + glim_v1 + glim_v2 + glim_v3 + glim_v4 + glim_v5 + glim_v6 + glim_v7 glm + glm_6 + glm_p + glm_sw + glmpred glogit - gprefs - gr7 - graph7 + glogit_8 + glogit_p + gmeans + gnbre_lf + gnbreg + gnbreg_5 + gnbreg_p + gomp_lf + gompe_sw + gomper_p + gompertz + gompertzhet + gomphet_glf + gomphet_glf_sh + gomphet_gp + gomphet_ilf + gomphet_ilf_sh + gomphet_ip + gphdot + gphpen + gphprint + gprobi_p + gprobit + gprobit_8 gr + gr_copy + gr_current + gr_db + gr_describe + gr_dir + gr_draw + gr_draw_replay + gr_drop + gr_edit + gr_editviewopts + gr_example + gr_example2 + gr_export + gr_print + gr_qscheme + gr_query + gr_read + gr_rename + gr_replay + gr_save + gr_set + gr_setscheme + gr_table + gr_undo + gr_use graph + grebar + greigen + greigen_7 + greigen_8 grmeanby + grmeanby_7 + gs_fileinfo + gs_filetype + gs_graphinfo + gs_stat gsort + gwood + h hadimvo + hareg hausman - haver + he + heck_d2 + heckma_p heckman + heckp_lf + heckpr_p heckprob + hel help + hereg + hetpr_lf + hetpr_p hetprob - hexdump + hettest hilite hist + hist_7 + histogram + hlogit + hlu + hmeans hotel - if + hotelling + hprobit + hreg icd9 + icd9_ff + icd9p + iis impute - in - infile - infile1 - infile2 - infiling - infix - input - insheet - inspect + imtest + inbase + integ + inten + intreg + intreg_7 + intreg_p + intrg_ll + intrg_ll2 + intrg2_ll ipolate + iqreg + ir + irf + irf_create + irfm + iri + is_svy + is_svysum + isid + istdize + ivprob_1_lf + ivprob_lf + ivprobit + ivprobit_p ivreg + ivreg_footnote + ivtob_1_lf + ivtob_lf + ivtobit + ivtobit_p + + jackknife + jacknife jknife + jknife_6 + jknife_8 + jkstat joinby + kalarma1 + kap + kap_3 + kapmeier kappa + kapwgt kdensity - keep + kdensity_7 ksm ksmirnov + ktau kwallis - label + labelbook ladder levels - limits + levelsof + leverage + lfit + lfit_p lincom line linktest - list + lloghet_glf + lloghet_glf_sh + lloghet_gp + lloghet_ilf + lloghet_ilf_sh + lloghet_ip + llogi_sw + llogis_p + llogist + llogistic + llogistichet + lnorm_lf + lnorm_sw + lnorma_p + lnormal + lnormalhet + lnormhet_glf + lnormhet_glf_sh + lnormhet_gp + lnormhet_ilf + lnormhet_ilf_sh + lnormhet_ip lnskew0 - log + loadingplot + logi + logis_lf logistic - lowess - lfit - lstat - lroc - lsens + logistic_p logit + logit_estat + logit_p + loglogs + logrank loneway + lookfor + lowess + lowess_7 + lpredict + lrecomp + lroc + lroc_7 lrtest + lsens + lsens_7 + lsens_x + lstat ltable + ltable_7 + ltriang lv - manova - manovatest - mark - markin - markout - marksample - matsize - maximize + lvr2plot + lvr2plot_7 + + makecns + manova_estat + manova_p + mantel + mat_capp + mat_order + mat_rapp + mata_matdescribe + mata_matsave + mata_matuse + matalabel + matcproc + matlist + matname + matstrik + mcc + mcci + md0_ + md1_ + md1debug_ + md2_ + md2debug_ + mds + mds_estat + mds_p + mdsconfig + mdslong + mdsmat + mdsshepard + mdytoe + mdytof + me_derd + mean means median - memory + memsize + meqparse + mer + merg merge + mfp mfx - mkdir + mhelp + mhodds + mixed_ll + mixed_ll_reparm + mkassert + mkmat mkspline ml - mleval - mlmatbysum - mlmatsum - mlsum - mlvecsum + ml_5 + ml_adjs + ml_bhhhs + ml_c_d + ml_check + ml_clear + ml_cnt + ml_debug + ml_defd + ml_e0 + ml_e0_bfgs + ml_e0_cycle + ml_e0_dfp + ml_e0i + ml_e1 + ml_e1_bfgs + ml_e1_bhhh + ml_e1_cycle + ml_e1_dfp + ml_e2 + ml_e2_cycle + ml_ebfg0 + ml_ebfr0 + ml_ebfr1 + ml_ebh0q + ml_ebhh0 + ml_ebhr0 + ml_ebr0i + ml_ecr0i + ml_edfp0 + ml_edfr0 + ml_edfr1 + ml_edr0i + ml_eds + ml_eer0i + ml_egr0i + ml_elf + ml_elf_bfgs + ml_elf_bhhh + ml_elf_cycle + ml_elf_dfp + ml_elfi + ml_elfs + ml_enr0i + ml_enrr0 + ml_erdu0 + ml_erdu0_bfgs + ml_erdu0_bhhh + ml_erdu0_bhhhq + ml_erdu0_cycle + ml_erdu0_dfp + ml_erdu0_nrbfgs + ml_exde + ml_footnote + ml_geqnr + ml_grad0 + ml_graph + ml_hbhhh + ml_hd0 + ml_hold + ml_init + ml_inv + ml_log + ml_max + ml_mlout + ml_model + ml_nb0 + ml_opt + ml_p + ml_plot + ml_query + ml_rdgrd + ml_repor + ml_s_e + ml_score + ml_searc + ml_technique + ml_unhold + mlf_ + mlog + mlogi mlogit - more - move + mlogit_footnote + mlogit_p + mlopts + mnl0_ + mprobit + mprobit_lf + mprobit_p + mrdu0_ + mrdu1_ + mvdecode mvencode mvreg + mvreg_estat nbreg - net + nbreg_al + nbreg_lf + nbreg_p + nbreg_sw newey - news + newey_7 + newey_p nl + nl_7 + nl_p + nl_p_7 + nlcom + nlcom_p + nlexp2 + nlexp2_7 + nlexp2a + nlexp2a_7 + nlexp3 + nlexp3_7 + nlgom3 + nlgom3_7 + nlgom4 + nlgom4_7 + nlinit + nllog3 + nllog3_7 + nllog4 + nllog4_7 + nlog_rd nlogit - nobreak - nois - noisily + nlogit_p + nlogitgen + nlogittree + nlpred + note notes nptrend - numlist - obs - odbc + numlabel + old_ver + olo + olog + ologi + ologi_sw ologit - oneway + ologit_p + ologitp + op_colnm + op_comp + op_diff + op_inv + op_str + opr + opro + oprob + oprob_sw + oprobi + oprobi_p oprobit - order + oprobitp + opts_exclusive orthog - outfile - outsheet - parse + orthpoly + ovtest + + pac + pac_7 + palette + parse_dissim + pause + pca + pca_8 + pca_display + pca_estat + pca_p + pca_rotate + pcamat + pchart + pchart_7 + pchi + pchi_7 pcorr - _pctile pctile + pentium pergram - pk + pergram_7 + permute + permute_8 + personal + peto_st pkcollapse pkcross pkequiv pkexamine + pkexamine_7 pkshape pksumm - plot + pksumm_7 + pnorm + pnorm_7 + poisgof + poiss_lf + poiss_sw + poisso_p poisson - post - postclose - postfile - postutil + poisson_estat pperron prais + prais_e + prais_e2 + prais_p predict - preserve + predictnl + print + prob + probi probit - program + probit_estat + probit_p + proc_time + procoverlay + procrustes + procrustes_estat + procrustes_p + profiler + prop + proportion prtest + prtesti pwcorr - qc + qby + qbys + qchi + qchi_7 + qladder + qladder_7 + qnorm + qnorm_7 + qqplot + qqplot_7 qreg + qreg_c + qreg_p + qreg_sw quadchk - query - qui - quietly - ranksum + quantile + quantile_7 range + ranksum + ratio + rchart + rchart_7 + rcof recast recode - reg3 - regdiag reg + reg3 + reg3_p + regdw + regr + regre + regre_p2 + regres + regres_p regress - rename - replace + regress_estat + regriv_p + remap + renpfix + repeat reshape - restore - return - roc + robvar + roccomp + roccomp_7 + roccomp_8 + rocf_lf + rocfit + rocfit_8 + rocgold rocplot + rocplot_7 + roctab + roctab_7 + rolling + rologit + rologit_p + rot + rota + rotat rotate + rotatemat rreg + rreg_p runtest - run + rvfplot + rvfplot_7 + rvpplot + rvpplot_7 + + safesum sample sampsi - save + savedresults + saveold + sc scatter + scm_mine + sco + scob_lf + scob_p + scobi_sw scobit + scor score + scoreplot + scoreplot_help + scree + screeplot + screeplot_help sdtest - search + sdtesti separate + seperate serrbar - set - shell + serrbar_7 + set_defaults + sfrancia + shewhart + shewhart_7 signrank signtest simul + simul_7 + simulate + simulate_8 sktest + slogit + slogit_d2 + slogit_p smooth snapspan - sort spearman spikeplot - sreturn + spikeplot_7 + spikeplt + spline_x + split + sqreg + sqreg_p + ssc st + st_ct + st_hc + st_hcd + st_hcd_sh + st_is + st_issys + st_note + st_promo + st_set + st_show + st_smpl + st_subid stack statsby - stb + statsby_8 stbase stci + stci_7 stcox + stcox_estat + stcox_fr + stcox_fr_ll + stcox_p + stcox_sw + stcoxkm + stcoxkm_7 + stcstat + stcurv + stcurve + stcurve_7 stdes stem + stepwise + stereg stfill stgen stir + stjoin + stmc + stmh stphplot + stphplot_7 + stphtest + stphtest_7 stptime strate + strate_7 streg + streg_sw + streset sts + sts_7 stset stsplit stsum sttocc sttoct stvary - sum - summarize + stweib + suest + suest_8 + sunflower sureg + survcurv + survsum + svar + svar_p + svmat svy + svy_disp + svy_dreg + svy_est + svy_est_7 + svy_estat + svy_get + svy_gnbreg_p + svy_head + svy_header + svy_heckman_p + svy_heckprob_p + svy_intreg_p + svy_ivreg_p + svy_logistic_p + svy_logit_p + svy_mlogit_p + svy_nbreg_p + svy_ologit_p + svy_oprobit_p + svy_poisson_p + svy_probit_p + svy_regress_p + svy_sub + svy_sub_7 + svy_x + svy_x_7 + svy_x_p svydes + svydes_8 + svygen + svygnbreg + svyheckman + svyheckprob + svyintreg + svyintreg_7 + svyintrg + svyivreg svylc + svylog_p + svylogit + svymarkout + svymarkout_8 svymean + svymlog + svymlogit + svynbreg + svyolog + svyologit + svyoprob + svyoprobit + svyopts + svypois + svypois_7 + svypoisson + svyprobit + svyprobt + svyprop + svyprop_7 + svyratio + svyreg + svyreg_p + svyregress svyset + svyset_7 + svyset_8 svytab + svytab_7 svytest + svytotal sw + sw_8 + swcnreg + swcox + swereg swilk + swlogis + swlogit + swologit + swoprbt + swpois + swprobit + swqreg + swtobit + swweib symmetry - syntax - tab + symmi + symplot + symplot_7 + sysdescribe + sysuse + szroeter + tab_or + tab1 + tab2 + tabi table - tabdisp + tabodds + tabodds_7 tabstat - tabsum - tabulate - tempname - tempvar - tempfile + te + tes test testnl + testparm + teststd + tetrachoric + time_it + tis + tob + tobi tobit - tokenize - translate - translator - transmap + tobit_p + tobit_sw + tostring + total + treat_ll + treatr_p treatreg + trim + trnb_cons + trnb_mean + trpoiss_d2 + trunc_ll + truncr_p truncreg + tsappend + tset + tsfill + tsline + tsline_ex tsreport - tsrevar + tsrline tsset + tssmooth + tsunab ttest - tutorials + ttesti + tut_chk + tut_wait + tutorial + tw + tware_st + two twoway - type - unabcmd - unabbr - update - use - using - version + twoway__fpfit_serset + twoway__function_gen + twoway__histogram_gen + twoway__ipoint_serset + twoway__ipoints_serset + twoway__kdensity_gen + twoway__lfit_serset + twoway__normgen_gen + twoway__pci_serset + twoway__qfit_serset + twoway__scatteri_serset + twoway__sunflower_gen + twoway_ksm_serset + typeof + unab + unabbrev + uselabel + + var + var_mkcompanion + var_p + varbasic + varfcast + vargranger + varirf + varirf_add + varirf_cgraph + varirf_create + varirf_ctable + varirf_describe + varirf_dir + varirf_drop + varirf_erase + varirf_graph + varirf_ograph + varirf_rename + varirf_set + varirf_table + varlmar + varnorm + varsoc + varstable + varstable_w + varstable_w2 + varwle vce - view + vec + vec_fevd + vec_mkphi + vec_p + vec_p_w + vecirf_create + veclmar + veclmar_w + vecnorm + vecnorm_w + vecrank + vecstable + verinst + viewsource + vif vwls + wdatetof + webdescribe + webseek + webuse + weib_lf + weib_lf0 + weib1_lf + weib2_lf + weibhet_glf + weibhet_glf_sh + weibhet_glfa + weibhet_glfa_sh + weibhet_gp + weibhet_ilf + weibhet_ilf_sh + weibhet_ilfa + weibhet_ilfa_sh + weibhet_ip + weibu_sw + weibul_p weibull + weibull_c + weibull_s + weibullhet whelp - while - which + wilc_st + wilcoxon wntestb + wntestb_7 wntestq + xchart + xchart_7 xcorr + xcorr_7 xi + xi_6 xpose - xt + xt_iis + xt_tis + xtab_p xtabond + xtbin_p xtclog + xtcloglog + xtcloglog_8 + xtcloglog_d2 + xtcloglog_re_p + xtcnt_p + xtcorr xtdata xtdes + xtfront_p + xtfrontier xtgee + xtgee_elink + xtgee_estat + xtgee_makeivar + xtgee_p + xtgee_plink xtgls - xtintreg + xtgls_p + xthaus + xthausman + xtht_p + xthtaylor xtile + xtint_p + xtintreg + xtintreg_8 + xtintreg_d2 + xtintreg_p + xtivp_1 + xtivp_2 xtivreg + xtline + xtline_ex xtlogit + xtlogit_8 + xtlogit_d2 + xtlogit_fe_p + xtlogit_pa_p + xtlogit_re_p + xtmixed + xtmixed_estat + xtmixed_p + xtnb_fe + xtnb_lf xtnbreg + xtnbreg_pa_p + xtnbreg_refe_p xtpcse + xtpcse_p xtpois + xtpoisson + xtpoisson_d2 + xtpoisson_pa_p + xtpoisson_refe_p + xtpred xtprobit + xtprobit_8 + xtprobit_d2 + xtprobit_re_p + xtps_fe + xtps_lf + xtps_ren + xtps_ren_8 + xtrar_p + xtrc + xtrc_p xtrchh + xtrefe_p xtreg + xtreg_be + xtreg_fe + xtreg_ml + xtreg_pa_p + xtreg_re xtregar + xtrere_p + xtsf_ll + xtsf_llti xtsum xttab + xttest0 xttobit + xttobit_8 + xttobit_p + xttrans + yx + yxview__barlike_draw + yxview_area_draw + yxview_bar_draw + yxview_dot_draw + yxview_dropline_draw + yxview_function_draw + yxview_iarrow_draw + yxview_ilabels_draw + yxview_normal_draw + yxview_pcarrow_draw + yxview_pcbarrow_draw + yxview_pccapsym_draw + yxview_pcscatter_draw + yxview_pcspike_draw + yxview_rarea_draw + yxview_rbar_draw + yxview_rbarm_draw + yxview_rcap_draw + yxview_rcapsym_draw + yxview_rconnected_draw + yxview_rline_draw + yxview_rscatter_draw + yxview_rspike_draw + yxview_spike_draw + yxview_sunflower_draw + zap_s + zinb + zinb_llf + zinb_plf zip + zip_llf + zip_p + zip_plf + zt_ct_5 + zt_hc_5 + zt_hcd_5 + zt_is_5 + zt_iss_5 + zt_sho_5 + zt_smp_5 + ztbase_5 + ztcox_5 + ztdes_5 + ztereg_5 + ztfill_5 + ztgen_5 + ztir_5 + ztjoin_5 + ztnb + ztnb_p + ztp + ztp_p + zts_5 + ztset_5 + ztspli_5 + ztsum_5 + zttoct_5 + ztvary_5 + ztweib_5 - - char + + + _cholinv + _cholsolve + _corr + _edittoint + _edittointtol + _edittozero + _edittozerotol + _eigen_work + _eigensystem + _eigenvalues + _equilc + _equilr + _equilrc + _ftell + _fullsvd + _hqrd + _hqrdp + _invlower + _jumble + _lefteigensystem + _lowertriangle + _lud + _lud_la + _luinv + _lusolve + _matexpsym + _matlogsym + _matpowersym + _mprobit_outer_prod + _mprobit_quadrature + _mprobit_quadrature_eval + _mprobit_quadrature_m + _mprobit_select + _mprobit_simulator + _mprobit_simulator_case_g + _mprobit_simulator_lk + _mprobit_simulator_m + _mprobit_simulator_mi + _mprobit_validate_choice + _mprobit_weights_roots_laguerre + _perhapsequilc + _perhapsequilr + _perhapsequilrc + _pinv + _qrinv + _qrsolve + _rowswap + _solvelower + _solveupper + _sort + _svd + _svdsv + _svsolve + _svy_design + _svy_group_sum + _svy_identify + _svy_mean + _svy_mean_post + _svy_mean_std + _svy_mean_stdpost + _svy_over_expand + _svy_over_sizes + _svy_post_sizes + _svy_ratio + _svy_ratio_post + _svy_ratio_std + _svy_ratio_stdpost + _svy_srs_variance + _svy_srssub_variance + _svy_std_sizes + _svy_total + _svy_total_post + _svy_variance + _symeigen_work + _symeigensystem + _symeigenvalues + _symmatfunc_work + _uppertriangle + _xtm_beta + _xtm_blup + _xtm_blup_save + _xtm_blup_save_u + _xtm_blup_u + _xtm_cleanup + _xtm_covtype + _xtm_de_th_u + _xtm_delta_to_theta + _xtm_det_upper + _xtm_em_get_rij + _xtm_em_get_uij + _xtm_em_iter + _xtm_em_iter_u + _xtm_em_solve + _xtm_fact_expand + _xtm_ga_th_u + _xtm_gamma_to_theta + _xtm_get_delta + _xtm_get_delta_u + _xtm_invert_R + _xtm_logdetr00 + _xtm_logdetr00_u + _xtm_matexp + _xtm_matlog + _xtm_mixed_ll + _xtm_mixed_ll_u + _xtm_mixed_ll_uu + _xtm_mk_eqs + _xtm_ml_eqlist + _xtm_ml_eqlist_wrk + _xtm_setup + _xtm_start + _xtm_start_collapse + _xtm_start_u + _xtm_th_de_u + _xtm_th_ga_u + _xtm_theta_to_delta + _xtm_theta_to_gamma + acos + acosh + aggregate + array + asin + asinh + assert + asserteq + atan + atanh + blockdiag + boolean + break + byte + case + cat + catch + chdir + cholesky + cholinv + cholsolve + class + cloglog + collate + colmax + colmin + colscalefactors + colshape + colvector + complex + cond + const + continue + convolve + convolveslowly + Corr + correlation + Corrslowly + corruppercase + cosh + deconvolve + default + delegate + delete + designmatrix + det + dettriangular + diag + diag0cnt + do double - error - text - result + dsign + e + editmissing + edittoint + edittointtol + edittozero + edittozerotol + editvalue + eigensystem + eigenvalues + else + eltypedef + end + enum + explicit + export + external + fft + fileexists + findfile float + for + friend + ftell + ftfreqs + ftpad + ftperiodogram + ftretime + ftunwrap + ftwrap + fullsdiag + fullsvd + function + gamma + global + goto + helloworld + Hilbert + hqrd + hqrdmultq + hqrdmultq1t + hqrdp + hqrdq + hqrdq1 + hqrdr + hqrdr1 + if + inline int - long + invcloglog + invfft + invHilbert + invlogit + invlower + invorder + invslowfft + invslowft + invvech + isdiagonal + jumble + lefteigensystem local - global - macro - mat + log10 + logit + long + lowertriangle + lud + luinv + lusolve + makesymmetric + mat_norm + mata + matexpsym + matlogsym + matpowersym matrix + max + mean + meanvariance + min + mkdir + mmat_ + mmat_describe + mmat_expandlist + mmat_readerror + mmat_save + mmat_use + mmat_writeerror + namespace + new + norm + NULL + numeric + operator + orgtypedef + panelsetup + panelsetup_u + panelstats + panelsubmatrix + panelsubview + pathlist + pathsubsysdir + pi + pinv + pointer + polyadd + polyadd_expand + polyderiv + polydiv + polyeval + polyinteg + polymorphic + polymult + polyroots + polysolve + polytrim + pragma + private + protected + public + qrd + qrdp + qrinv + qrsolve + quad + quadcorrelation + quadmeanvariance + quadrant + quadvariance + range + rangen + rank + rank_from_singular_values + real + return + revorder + rmdir + rowmax + rowmin + rowscalefactors + rowvector scalar - variable - var - varlist - varname + scalar_norm + short + sign + signed + sinh + sizeof + slowfft + slowft + solve_tol + solve_tolscale + solvelower + solveupper + sort + spline3 + spline3eval + splineeval + st_islmname + static + string + struct + super + svd + svdsv + svsolve + switch + symeigensystem + symeigenvalues + tanh + template + this + throw + Toeplitz + trace + trace_prod + transmorphic + transposeonly + try + typedef + typename + union + uniqrows + unitcircle + unorder + unsigned + uppertriangle + using + Vandermonde + variance + vec + vec_norm + vech + vector + version + virtual + void + volatile + while - + - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + - + + + + + - + - - - - - - + + + + + + + + + + + + + + + + + + - + + @@ -474,5 +3227,5 @@ + - diff --git a/kate/data/systemverilog.xml b/kate/data/systemverilog.xml new file mode 100644 index 000000000..a13d3fc19 --- /dev/null +++ b/kate/data/systemverilog.xml @@ -0,0 +1,1028 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + defparam + deassign + + + + DPI + DPI-C + import + export + context + + + + assert + assume + cover + expect + disable + iff + binsof + intersect + first_match + throughout + within + + + + coverpoint + cross + wildcard + bins + ignore_bins + illegal_bins + + + + genvar + + + + if + else + unique + priority + matches + + + + default + + + + forever + repeat + while + for + do + foreach + break + continue + return + + + + pulsestyle_onevent + pulsestyle_ondetect + noshowcancelled + showcancelled + ifnone + + + + initial + final + always + always_comb + always_ff + always_latch + + + + alias + assign + force + release + + + + posedge + negedge + edge + wait + wait_order + + + + timeunit + timeprecision + s + ms + ns + us + ns + ps + fs + step + + + + new + extends + this + super + protected + local + rand + randc + bind + + + + constraint + solve + before + dist + inside + with + + + + virtual + pure + extern + forkjoin + + + + design + instance + cell + liblist + use + + + + library + incdir + include + + + + modport + + + + sync_accept_on + reject_on + accept_on + sync_reject_on + restrict + let + until + until_with + unique0 + eventually + s_until + s_always + s_eventually + s_nexttime + s_until_with + global + untyped + implies + weak + strong + nexttime + + + + + parameter + localparam + specparam + + input + output + inout + ref + + byte + shortint + int + integer + longint + time + + bit + logic + reg + + supply0 + supply1 + tri + triand + trior + trireg + tri0 + tri1 + wire + uwire + wand + wor + + signed + unsigned + + shortreal + real + realtime + + type + void + + struct + union + tagged + + const + var + automatic + static + packed + vectored + scalared + + typedef + enum + string + chandle + event + + null + + + + `__FILE__ + `__LINE__ + `begin_keywords + `celldefine + `default_nettype + `define + `else + `elsif + `end_keywords + `endcelldefine + `endif + `ifdef + `ifndef + `include + `line + `nounconnected_drive + `pragma + `resetall + `timescale + `unconnected_drive + `undef + `undefineall + + + + $finish + $stop + $exit + $realtime + $stime + $time + $printtimescale + $timeformat + $bitstoreal + $realtobits + $bitstoshortreal + $shortrealtobits + $itor + $rtoi + $signed + $unsigned + $cast + $bits + $isunbounded + $typename + $unpacked_dimensions + $dimensions + $left + $right + $low + $high + $increment + $size + $clog2 + $asin + $ln + $acos + $log10 + $atan + $exp + $atan2 + $sqrt + $hypot + $pow + $sinh + $floor + $cosh + $ceil + $tanh + $sin + $asinh + $cos + $acosh + $tan + $atanh + $fatal + $error + $warning + $info + $fatal + $error + $warning + $info + $asserton + $assertoff + $assertkill + $assertpasson + $assertpassoff + $assertfailon + $assertfailoff + $assertnonvacuouson + $assertvacuousoff + $onehot + $onehot0 + $isunknown + $sampled + $rose + $fell + $stable + $changed + $past + $countones + $past_gclk + $rose_gclk + $fell_gclk + $stable_gclk + $changed_gclk + $future_gclk + $rising_gclk + $falling_gclk + $steady_gclk + $changing_gclk + $coverage_control + $coverage_get_max + $coverage_get + $coverage_merge + $coverage_save + $get_coverage + $set_coverage_db_name + $load_coverage_db + $random + $dist_chi_square + $dist_erlang + $dist_exponential + $dist_normal + $dist_poisson + $dist_t + $dist_uniform + $q_initialize + $q_add + $q_remove + $q_full + $q_exam + $async$and$array + $async$and$plane + $async$nand$array + $async$nand$plane + $async$or$array + $async$or$plane + $async$nor$array + $async$nor$plane + $sync$and$array + $sync$and$plane + $sync$nand$array + $sync$nand$plane + $sync$or$array + $sync$or$plane + $sync$nor$array + $sync$nor$plane + $system + $display + $write + $displayb + $writeb + $displayh + $writeh + $displayo + $writeo + $strobe + $monitor + $strobeb + $monitorb + $strobeh + $monitorh + $strobeo + $monitoro + $monitoroff + $monitoron + $fclose + $fopen + $fdisplay + $fwrite + $fdisplayb + $fwriteb + $fdisplayh + $fwriteh + $fdisplayo + $fwriteo + $fstrobe + $fmonitor + $fstrobeb + $fmonitorb + $fstrobeh + $fmonitorh + $fstrobeo + $fmonitoro + $swrite + $sformat + $swriteb + $sformatf + $swriteh + $fgetc + $swriteo + $ungetc + $fscanf + $fgets + $fread + $sscanf + $fseek + $rewind + $fflush + $ftell + $feof + $ferror + $readmemb + $readmemh + $writememb + $writememh + $test$plusargs + $value$plusargs + $dumpfile + $dumpvars + $dumpoff + $dumpon + $dumpall + $dumplimit + $dumpflush + $dumpports + $dumpportsoff + $dumpportson + $dumpportsall + $dumpportslimit + $dumpportsflush + + + + pullup + pulldown + cmos + rcmos + nmos + pmos + rnmos + rpmos + and + nand + or + nor + xor + xnor + not + buf + tran + rtran + tranif0 + tranif1 + rtranif0 + rtranif1 + bufif0 + bufif1 + notif0 + notif1 + + + + + strong0 + strong1 + pull0 + pull1 + weak0 + weak1 + highz0 + highz1 + + small + medium + large + + + randomize + mailbox + semaphore + put + get + try_put + try_get + peek + try_peek + process + state + self + status + kill + await + suspend + resume + + size + delete + insert + num + first + last + next + prev + pop_front + pop_back + push_front + push_back + find + find_index + find_first + find_last + find_last_index + min + max + unique_index + reverse + sort + rsort + shuffle + sum + product + + List + List_Iterator + neq + eq + data + empty + front + back + start + finish + insert_range + erase + erase_range + set + swap + clear + purge + + + + begin + + + end + + + package + + + endpackage + + + macromodule + + + module + + + endmodule + + + generate + + + endgenerate + + + program + + + endprogram + + + class + + + endclass + + + function + + + endfunction + + + case + + + casex + + + casez + + + randcase + + + endcase + + + interface + + + endinterface + + + clocking + + + endclocking + + + task + + + endtask + + + primitive + + + endprimitive + + + fork + + + join + + + join_any + + + join_none + + + covergroup + + + endgroup + + + checker + + + endchecker + + + property + + + endproperty + + + randsequence + + + sequence + + + endsequence + + + specify + + + endspecify + + + config + + + endconfig + + + table + + + endtable + + + extern + + + pure + + + typedef + + + import + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/tads3.xml b/kate/data/tads3.xml new file mode 100644 index 000000000..9114633e6 --- /dev/null +++ b/kate/data/tads3.xml @@ -0,0 +1,175 @@ + + + + + + + + + abort + and + argcount + break + case + catch + class + construct + continue + default + definingobj + delegated + dictionary + do + else + enum + exit + export + extern + finalize + finally + for + foreach + function + goto + grammar + if + in + inherited + intrinsic + is + local + modify + new + nil + not + object + or + property + propertyset + replace + replaced + return + self + static + switch + targetobj + targetprop + template + throw + token + transient + true + try + while + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/kate/data/tcsh.xml b/kate/data/tcsh.xml new file mode 100644 index 000000000..f9dffa2e4 --- /dev/null +++ b/kate/data/tcsh.xml @@ -0,0 +1,868 @@ + + + + + + + +]> + + + + + + + else + for + function + in + select + until + while + . + then + + + + : + alias + alloc + bg + bindkey + break + builtins + bye + cd + chdir + complete + continue + dirs + echo + echotc + eval + exec + exit + fg + filetest + glob + hashstat + history + hup + inlib + jobs + kill + limit + log + login + logout + ls-F + migrate + newgrp + nice + nohup + notify + onintr + popd + printenv + pushd + rehash + repeat + sched + settc + setty + shift + source + stop + suspend + telltc + time + umask + unalias + uncomplete + unhash + unlimit + ver + wait + watchlog + where + which + + + + unset + unsetenv + + + + + arch + awk + bash + bunzip2 + bzcat + bzcmp + bzdiff + bzegrep + bzfgrep + bzgrep + bzip2 + bzip2recover + bzless + bzmore + cat + chattr + chgrp + chmod + chown + chvt + cp + date + dd + deallocvt + df + dir + dircolors + dmesg + dnsdomainname + domainname + du + dumpkeys + echo + ed + egrep + false + fgconsole + fgrep + fuser + gawk + getkeycodes + gocr + grep + groff + groups + gunzip + gzexe + gzip + hostname + igawk + install + kbd_mode + kbdrate + killall + last + lastb + link + ln + loadkeys + loadunimap + login + ls + lsattr + lsmod + lsmod.old + mapscrn + mesg + mkdir + mkfifo + mknod + mktemp + more + mount + mv + nano + netstat + nisdomainname + nroff + openvt + pgawk + pidof + ping + ps + pstree + pwd + rbash + readlink + red + resizecons + rm + rmdir + run-parts + sash + sed + setfont + setkeycodes + setleds + setmetamode + setserial + sh + showkey + shred + sleep + ssed + stat + stty + su + sync + tar + tempfile + touch + troff + true + umount + uname + unicode_start + unicode_stop + unlink + utmpdump + uuidgen + vdir + wall + wc + ypdomainname + zcat + zcmp + zdiff + zegrep + zfgrep + zforce + zgrep + zless + zmore + znew + zsh + + + aclocal + aconnect + aplay + apm + apmsleep + apropos + ar + arecord + as + as86 + autoconf + autoheader + automake + awk + basename + bc + bison + c++ + cal + cat + cc + cdda2wav + cdparanoia + cdrdao + cd-read + cdrecord + chfn + chgrp + chmod + chown + chroot + chsh + clear + cmp + co + col + comm + cp + cpio + cpp + cut + dc + dd + df + diff + diff3 + dir + dircolors + directomatic + dirname + du + env + expr + fbset + file + find + flex + flex++ + fmt + free + ftp + funzip + fuser + g++ + gawk + gc + gcc + gdb + getent + getopt + gettext + gettextize + gimp + gimp-remote + gimptool + gmake + gs + head + hexdump + id + install + join + kill + killall + ld + ld86 + ldd + less + lex + ln + locate + lockfile + logname + lp + lpr + ls + lynx + m4 + make + man + mkdir + mknod + msgfmt + mv + namei + nasm + nawk + nice + nl + nm + nm86 + nmap + nohup + nop + od + passwd + patch + pcregrep + pcretest + perl + perror + pidof + pr + printf + procmail + prune + ps2ascii + ps2epsi + ps2frag + ps2pdf + ps2ps + psbook + psmerge + psnup + psresize + psselect + pstops + rcs + rev + rm + scp + sed + seq + setterm + shred + size + size86 + skill + slogin + snice + sort + sox + split + ssh + ssh-add + ssh-agent + ssh-keygen + ssh-keyscan + stat + strings + strip + sudo + suidperl + sum + tac + tail + tee + test + tr + uniq + unlink + unzip + updatedb + updmap + uptime + users + vmstat + w + wc + wget + whatis + whereis + which + who + whoami + write + xargs + yacc + yes + zip + zsoelim + + + dcop + kdialog + kfile + xhost + xmodmap + xset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/txt2tags.xml b/kate/data/txt2tags.xml index 61dc77e62..581f469f6 100644 --- a/kate/data/txt2tags.xml +++ b/kate/data/txt2tags.xml @@ -2,29 +2,32 @@ - + + - - - - - + + + + + + + - - - - - - - - - - + + + + + + + + + + @@ -33,6 +36,7 @@ + @@ -40,18 +44,20 @@ - - + + + + - + - - - + + + diff --git a/kate/data/valgrind-suppression.xml b/kate/data/valgrind-suppression.xml new file mode 100644 index 000000000..9ac949841 --- /dev/null +++ b/kate/data/valgrind-suppression.xml @@ -0,0 +1,51 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/vera.xml b/kate/data/vera.xml new file mode 100644 index 000000000..aadb4b4a6 --- /dev/null +++ b/kate/data/vera.xml @@ -0,0 +1,648 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + after + all + any + around + assoc_index + assoc_size + async + bad_state + bad_trans + before + begin + big_endian + bind + bin_activation + bit_normal + bit_reverse + break + breakpoint + case + casex + casez + class + constraint + continue + coverage + coverage_block + coverage_def + coverage_depth + coverage_goal + coverage_group + coverage_option + coverage_val + cross_num_print_missing + cross_auto_bin_max + cov_comment + default + depth + dist + do + else + end + enum + exhaustive + export + extends + extern + for + foreach + fork + function + hdl_task + hdl_node + hide + if + illegal_self_transition + illegal_state + illegal_transition + in + interface + invisible + join + little_endian + local + m_bad_state + m_bad_trans + m_state + m_trans + negedge + new + newcov + non_rand + none + not + null + or + ordered + packed + port + posedge + proceed + prod + prodget + prodset + program + protected + public + rand + randc + randcase + randseq + repeat + return + rules + sample + sample_event + shadow + soft + state + static + super + task + terminate + this + trans + typedef + unpacked + var + vca + vector + verilog_node + verilog_task + vhdl_node + vhdl_task + virtual + virtuals + visible + void + while + wildcard + with + + + integer + bit + reg + string + bind_var + event + inout + input + output + ASYNC + CLOCK + NDRIVE + NHOLD + NRX + NRZ + NR0 + NR1 + NSAMPLE + PDRIVE + PHOLD + PRX + PRZ + PR0 + PR1 + PSAMPLE + + + gnr + grx + grz + gr0 + gr1 + nr + rx + rz + r0 + r1 + snr + srx + srz + sr0 + sr1 + + + + alloc + call_func + call_task + cast_assign + close_conn + cm_coverage + cm_get_coverage + cm_get_limit + coverage_backup_database_file + coverage_save_database + delay + error + error_mode + error_wait + exit + fclose + feof + ferror + fflush + flag + fopen + fprintf + freadb + freadb + freadh + freadstr + get_bind + get_bind_id + get_conn_err + get_cycle + get_env + get_memsize + get_plus_arg + get_systime + get_time + get_time_unit + getstate + initstate + lock_file + mailbox_get + mailbox_put + mailbox_receive + mailbox_send + make_client + make_server + os_command + printf + psprintf + query + query_str + query_x + rand48 + random + region_enter + region_exit + rewind + semaphore_get + semaphore_put + setstate + signal_connect + simwave_plot + srandom + sprintf + sscanf + stop + suspend_thread + sync + timeout + trace + trigger + unit_delay + unlock_file + up_connections + urand48 + urandom + urandom_range + vera_bit_reverse + vera_crc + vera_pack + vera_pack_big_endian + vera_plot + vera_report_profile + vera_unpack + vera_unpack_big_endian + vsv_call_func + vsv_call_task + vsv_close_conn + vsv_get_conn_err + vsv_make_client + vsv_make_server + vsv_up_connections + vsv_wait_for_done + vsv_wait_for_input + wait_child + wait_var + + Configure + DisableTrigger + DoAction + EnableCount + EnableTrigger + Event + GetAssert + GetCount + GetFirstAssert + GetName + GetNextAssert + Wait + atobin + atohex + atoi + atooct + backref + bittostr + capacity + compare + constraint_mode + delete + empty + find + find_index + first + first_index + get_at_least + get_auto_bin + get_cov_weight + get_coverage_goal + get_cross_bin_max + get_status + get_status_msg + getc + hash + icompare + insert + inst_get_at_least + inst_get_auto_bin_max + inst_get_collect + inst_get_cov_weight + inst_get_coverage_goal + inst_getcross_bin_max + inst_query + inst_set_at_least + inst_set_auto_bin_max + inst_set_bin_activiation + inst_set_collect + inst_set_cov_weight + inst_set_coverage_goal + inst_set_cross_bin_max + itoa + last + last_index + len + load + match + max + max_index + min + min_index + object_compare + object_copy + object_print + pack + pick_index + pop_back + pop_front + post_pack + post_randomize + post_unpack + postmatch + pre_pack + pre_randomize + prematch + push_back + push_front + putc + query + query_str + rand_mode + randomize + reserve + reverse + rsort + search + set_at_least + set_auto_bin_max + set_bin_activiation + set_cov_weight + set_coverage_goal + set_cross_bin_max + set_name + size + sort + substr + sum + thismatch + tolower + toupper + unique_index + unpack + + new + object_compare + post_boundary + post_pack + post_randomize + post_unpack + pre-randomize + pre_boundary + pre_pack + pre_unpack + + ALL + ANY + BAD_STATE + BAD_TRANS + CALL + CHECK + CHGEDGE + CLEAR + COPY_NO_WAIT + COPY_WAIT + CROSS + CROSS_TRANS + DEBUG + DELETE + EC_ARRAYX + EC_CODE_END + EC_CONFLICT + EC_EVNTIMOUT + EC_EXPECT + EC_FULLEXPECT + EC_MBXTMOUT + EC_NEXPECT + EC_RETURN + EC_RGNTMOUT + EC_SCONFLICT + EC_SEMTMOUT + EC_SEXPECT + EC_SFULLEXPECT + EC_SNEXTPECT + EC_USERSET + EQ + EVENT + FAIL + FIRST + FORK + GE + GOAL + GT + HAND_SHAKE + HI + HIGH + HNUM + LE + LIC_EXIT + LIC_PRERR + LIC_PRWARN + LIC_WAIT + LO + LOAD + LOW + LT + MAILBOX + MAX_COM + NAME + NE + NEGEDGE + NEXT + NO_OVERLAP + NO_OVERLAP_STATE + NO_OVERLAP_TRANS + NO_VARS + NO_WAIT + NUM + NUM_BIN + NUM_DET + OFF + OK + OK_LAST + ON + ONE_BLAST + ONE_SHOT + ORDER + PAST_IT + PERCENT + POSEDGE + PROGRAM + RAWIN + REGION + REPORT + SAMPLE + SAVE + SEMAPHORE + SET + SILENT + STATE + STR + STR_ERR_OUT_OF_RANGE + STR_ERR_REGEXP_SYNTAX + SUM + TRANS + VERBOSE + WAIT + stderr + stdin + stdout + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/verilog.xml b/kate/data/verilog.xml index ffb5bac35..12619f2e8 100644 --- a/kate/data/verilog.xml +++ b/kate/data/verilog.xml @@ -1,24 +1,14 @@ - + macromodule - task - endtask - function - endfunction table endtable specify specparam endspecify - case - casex - casez - endcase - fork - join defparam default @@ -42,6 +32,38 @@ edge posedge negedge + + + config + endconfig + library + design + liblist + cell + use + instance + + + + begin + fork + module + case + casex + casez + task + function + generate + + + + end + join + endmodule + endcase + endtask + endfunction + endgenerate @@ -118,36 +140,26 @@ parameter event - - - - begin - - - end - - - module - - - endmodule + + signed + automatic + genvar + localparam - - - - - + + + + + + - - - @@ -155,19 +167,19 @@ - + + - + - - + @@ -175,11 +187,11 @@ - + - - + + @@ -193,12 +205,16 @@ - + - + + + + + @@ -227,13 +243,14 @@ - - + + + - + diff --git a/kate/data/vhdl.xml b/kate/data/vhdl.xml index 5b1b69494..c2ff48999 100644 --- a/kate/data/vhdl.xml +++ b/kate/data/vhdl.xml @@ -1,21 +1,21 @@ - - - - - - - + + + + + + - + ]> - + + file - package + library use + + access after alias all + array assert + assume + assume_guarantee + attribute begin block body bus component constant + context + cover + default disconnect downto end exit + fairness + file + force function generate generic @@ -56,26 +68,32 @@ new next null + of on open others + parameter port postponed procedure process + property + protected pure range record register reject + release report return select + sequence severity signal shared + strong subtype - then to transport type @@ -83,6 +101,9 @@ units until variable + vmode + vprop + vunit wait when with @@ -95,65 +116,101 @@ out buffer and + abs or xor + xnor not + mod + nand + nor + rem + rol + ror + sla + sra + sll + srl - + + if else elsif + then - + loop - + in inout out buffer + linkage - + signal variable constant - type + type + + to downto - --> - + others + + + + case + when + + bit bit_vector character boolean + boolean_vector integer + integer_vector real + real_vector time + time_vector + delay_length string severity_level positive natural + file_open_kind + file_open_status signed unsigned + unresolved_unsigned + unresolved_signed line text + side + width std_logic std_logic_vector std_ulogic std_ulogic_vector + x01 + x01z + ux01 + ux01z qsim_state qsim_state_vector qsim_12state @@ -166,159 +223,268 @@ wor_bit wor_vector + + - - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - + + - - + + - - - + + + - - - - - + - + + + + + + - - - - --> - - - - - - - + + + + + + + + - + + + + + + + + + + - + + + + + + + - - + + + + - - - - - - - - - - - + + + + - - + + + + + + + + - - - - + + + + + + + - + + + + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + - - - + + + + - - - + + + + - - - - + + + + + + + + + + + + - - - - + + + + + + + + + - - + + + + + + + + + + + + + + + + + + + + + + + + + - + + - - - + + + + + - + + + + + + + + + @@ -327,14 +493,17 @@ + + - + + diff --git a/kate/data/wml.xml b/kate/data/wml.xml new file mode 100644 index 000000000..277893e26 --- /dev/null +++ b/kate/data/wml.xml @@ -0,0 +1,203 @@ + + + + + + + + wmllint + wmlindent + wmlscope + + + #textdomain + #else + #undef + + + #define + #ifdef + #ifndef + #ifhave + #ifnhave + #ifver + #ifnver + + + #enddef + #endif + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/kate/data/xml.xml b/kate/data/xml.xml index 6ccf8fa09..65e9be2b6 100644 --- a/kate/data/xml.xml +++ b/kate/data/xml.xml @@ -1,10 +1,12 @@ + + ]> - + @@ -23,12 +25,12 @@ - + - + @@ -43,23 +45,23 @@ - + - + - + - + @@ -67,7 +69,7 @@ - + @@ -83,7 +85,7 @@ - + @@ -91,22 +93,22 @@ - + - + - + - + @@ -125,16 +127,17 @@ - - - - - - - - - - + + + + + + + + + + + diff --git a/kate/data/zsh.xml b/kate/data/zsh.xml new file mode 100644 index 000000000..5d0575ab9 --- /dev/null +++ b/kate/data/zsh.xml @@ -0,0 +1,959 @@ + + + + + + + +]> + + + + + + + + else + for + function + in + select + until + while + elif + then + set + + + + - + . + : + alias + autoload + bg + bindkey + break + builtin + bye + cap + cd + chdir + clone + command + comparguments + compcall + compctl + compdescribe + compfiles + compgroups + compquote + comptags + comptry + compvalues + continue + dirs + disable + disown + echo + echotc + echoti + emulate + enable + eval + exec + exit + false + fc + fg + functions + getcap + getopts + hash + history + jobs + kill + let + limit + log + logout + noglob + popd + print + printf + pushd + pushln + pwd + r + rehash + return + sched + set + setcap + setopt + shift + source + stat + suspend + test + times + trap + true + ttyctl + type + ulimit + umask + unalias + unfunction + unhash + unlimit + unset + unsetopt + vared + wait + whence + where + which + zcompile + zformat + zftp + zle + zmodload + zparseopts + zprof + zpty + zregexparse + zsocket + zstyle + ztcp + + + + declare + export + float + getln + integer + unset + declare + typeset + local + read + readonly + + + + + arch + awk + bash + bunzip2 + bzcat + bzcmp + bzdiff + bzegrep + bzfgrep + bzgrep + bzip2 + bzip2recover + bzless + bzmore + cat + chattr + chgrp + chmod + chown + chvt + cp + date + dd + deallocvt + df + dir + dircolors + dmesg + dnsdomainname + domainname + du + dumpkeys + echo + ed + egrep + false + fgconsole + fgrep + fuser + gawk + getkeycodes + gocr + grep + groff + groups + gunzip + gzexe + gzip + hostname + igawk + install + kbd_mode + kbdrate + killall + last + lastb + link + ln + loadkeys + loadunimap + login + ls + lsattr + lsmod + lsmod.old + lzcat + lzcmp + lzdiff + lzegrep + lzfgrep + lzgrep + lzless + lzcat + lzma + lzmainfo + lzmore + mapscrn + mesg + mkdir + mkfifo + mknod + mktemp + more + mount + mv + nano + netstat + nisdomainname + nroff + openvt + pgawk + pidof + ping + ps + pstree + pwd + rbash + readlink + red + resizecons + rm + rmdir + run-parts + sash + sed + setfont + setkeycodes + setleds + setmetamode + setserial + sh + showkey + shred + sleep + ssed + stat + stty + su + sync + tar + tempfile + touch + troff + true + umount + uname + unicode_start + unicode_stop + unlink + unlzma + unxz + utmpdump + uuidgen + vdir + wall + wc + xz + xzcat + ypdomainname + zcat + zcmp + zdiff + zegrep + zfgrep + zforce + zgrep + zless + zmore + znew + zsh + + + aclocal + aconnect + aplay + apm + apmsleep + apropos + ar + arecord + as + as86 + autoconf + autoheader + automake + awk + basename + bc + bison + c++ + cal + cat + cc + cdda2wav + cdparanoia + cdrdao + cd-read + cdrecord + chfn + chgrp + chmod + chown + chroot + chsh + clear + cmp + co + col + comm + cp + cpio + cpp + cut + dc + dd + df + diff + diff3 + dir + dircolors + directomatic + dirname + du + env + expr + fbset + file + find + flex + flex++ + fmt + free + ftp + funzip + fuser + g++ + gawk + gc + gcc + gdb + getent + getopt + gettext + gettextize + gimp + gimp-remote + gimptool + gmake + gs + head + hexdump + id + install + join + kill + killall + ld + ld86 + ldd + less + lex + ln + locate + lockfile + logname + lp + lpr + ls + lynx + m4 + make + man + mkdir + mknod + msgfmt + mv + namei + nasm + nawk + nice + nl + nm + nm86 + nmap + nohup + nop + od + passwd + patch + pcregrep + pcretest + perl + perror + pidof + pr + printf + procmail + prune + ps2ascii + ps2epsi + ps2frag + ps2pdf + ps2ps + psbook + psmerge + psnup + psresize + psselect + pstops + rcs + rev + rm + scp + sed + seq + setterm + shred + size + size86 + skill + slogin + snice + sort + sox + split + ssh + ssh-add + ssh-agent + ssh-keygen + ssh-keyscan + stat + strings + strip + sudo + suidperl + sum + tac + tail + tee + test + tr + uniq + unlink + unzip + updatedb + updmap + uptime + users + vmstat + w + wc + wget + whatis + whereis + which + who + whoami + write + xargs + yacc + yes + zip + zsoelim + + + dcop + kdialog + kfile + xhost + xmodmap + xset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +