diff --git a/kate/data/CMakeLists.txt b/kate/data/CMakeLists.txt
index 5ba7b5d88..8a6efd2ca 100644
--- a/kate/data/CMakeLists.txt
+++ b/kate/data/CMakeLists.txt
@@ -45,7 +45,8 @@ install( FILES
literate-curry.xml literate-haskell.xml logtalk.xml lpc.xml lsl.xml lua.xml m3u.xml
m4.xml mab.xml magma.xml makefile.xml mako.xml mandoc.xml mason.xml mathematica.xml matlab.xml
maxima.xml mediawiki.xml mel.xml mergetagtext.xml meson.xml metafont.xml mips.xml modelica.xml
- modelines.xml modula-2.xml monobasic.xml mup.xml nagios.xml nasm.xml nemerle.xml nesc.xml
+ modelines.xml modula-2-iso-only.xml modula-2-pim-only.xml modula-2-r10-only.xml
+ modula-2.xml monobasic.xml mup.xml nagios.xml nasm.xml nemerle.xml nesc.xml
noweb.xml objectivecpp.xml objectivec.xml ocaml.xml ocamllex.xml ocamlyacc.xml
octave.xml oors.xml opal.xml opencl.xml
pango.xml pascal.xml perl.xml pgn.xml php.xml picsrc.xml pig.xml pike.xml
diff --git a/kate/data/Makefile.am b/kate/data/Makefile.am
index cbc01cce1..09df83b7f 100644
--- a/kate/data/Makefile.am
+++ b/kate/data/Makefile.am
@@ -25,7 +25,8 @@ syntaxkate_DATA = language.dtd syntax.template \
literate-curry.xml literate-haskell.xml logtalk.xml lpc.xml lsl.xml lua.xml m3u.xml \
m4.xml mab.xml magma.xml makefile.xml mako.xml mandoc.xml mason.xml mathematica.xml matlab.xml \
maxima.xml mediawiki.xml mel.xml mergetagtext.xml meson.xml metafont.xml mips.xml modelica.xml \
- modelines.xml modula-2.xml monobasic.xml mup.xml nagios.xml nasm.xml nemerle.xml nesc.xml \
+ modelines.xml modula-2-iso-only.xml modula-2-pim-only.xml modula-2-r10-only.xml \
+ modula-2.xml monobasic.xml mup.xml nagios.xml nasm.xml nemerle.xml nesc.xml \
noweb.xml objectivecpp.xml objectivec.xml ocaml.xml ocamllex.xml ocamlyacc.xml \
octave.xml oors.xml opal.xml opencl.xml \
pango.xml pascal.xml perl.xml pgn.xml php.xml picsrc.xml pig.xml pike.xml \
diff --git a/kate/data/abap.xml b/kate/data/abap.xml
index c0e6ab821..12c604a06 100644
--- a/kate/data/abap.xml
+++ b/kate/data/abap.xml
@@ -5,250 +5,250 @@
- - ADD
- - ADJACENT
- - ALL
- - AND
- - APPEND
- - APPENDING
- - AS
- - ASCENDING
- - AT
- - BEGIN
- - BETWEEN
- - BINARY
- - BLOCK
- - BY
- - CASE
- - CENTERED
- - CHAIN
- - CHANGING
- - CHECK
- - CHECKBOX
- - CLEAR
- - COL_BACKGROUND
- - COL_HEADING
- - COL_NORMAL
- - COL_TOTAL
- - COLOR
- - COMMENT
- - COMMIT
- - COMPARING
- - COMPUTE
- - CONCATENATE
- - CONDENSE
- - CONSTANTS
- - CONTINUE
- - CONTROLS
- - COUNTRY
- - DATA
- - DECIMALS
- - DEFAULT
- - DELETE
- - DELETING
- - DESCENDING
- - DESCRIBE
- - DO
- - DUPLICATES
- - EDIT
- - ELSE
- - ELSEIF
- - END
- - ENDCASE
- - ENDCHAIN
- - ENDDO
- - ENDIF
- - ENDLOOP
- - ENDMODULE
- - ENDSELECT
- - ENDWHILE
- - ENTRIES
- - EQ
- - EXCEPTIONS
- - EXCLUDING
- - EXIT
- - EXIT-COMMAND
- - EXPORT
- - EXPORTING
- - FIELD
- - FIRST
- - FOR
- - FORMAT
- - FRAME
- - FREE
- - FROM
- - GE
- - GROUP
- - GT
- - HEADER
- - HEADING
- - HIDE
- - HOTSPOT
- - ID
- - IF
- - IMPORT
- - IMPORTING
- - IN
- - INDEX
- - INITIAL
- - INNER
- - INPUT
- - INSERT
- - INTENSIFIED
- - INTERVALS
- - INTO
- - IS
- - JOIN
- - KEY
- - LE
- - LEAVE
- - LEFT
- - LEFT-JUSTIFIED
- - LIKE
- - LINE
- - LINE-COUNT
- - LINES
- - LINES
- - LINE-SIZE
- - LIST-PROCESSING
- - LOOP
- - LT
- - MASK
- - MEMORY
- - MESSAGE
- - MESSAGE-ID
- - MOD
- - MODIFY
- - MODULE
- - MOVE
- - MOVE-CORRESPONDING
- - NE
- - NEW-LINE
- - NEW-PAGE
- - NO
- - NO-EXTENSION
- - NO-GAP
- - NO-SCROLLING
- - NOT
- - NO-ZERO
- - NUMBER
- - OBLIGATORY
- - OCCURS
- - OF
- - OFF
- - ON
- - OR
- - OTHERS
- - OUTPUT
- - PAGE
- - PARAMETER
- - PARAMETERS
- - PERFORM
- - PF-STATUS
- - POS_HIGH
- - POS_LOW
- - POSITION
- - PROGRAM
- - RADIOBUTTON
- - RANGES
- - READ
- - REFRESH
- - REPORT
- - RESERVE
- - RESET
- - RIGHT
- - RIGHT-JUSTIFIED
- - ROLLBACK
- - ROWS
- - SCREEN
- - SCREEN-GROUP1
- - SCREEN-GROUP2
- - SCREEN-GROUP3
- - SCREEN-GROUP4
- - SCREEN-GROUP5
- - SCREEN-INPUT
- - SCREEN-INTENSIFIED
- - SEARCH
- - SELECT
- - SELECTION
- - SELECTION-SCREEN
- - SELECT-OPTIONS
- - SEPARATED
- - SET
- - SHIFT
- - SINGLE
- - SKIP
- - SORT
- - SPACE
- - SPLIT
- - STANDARD
- - STARTING
- - STOP
- - STRLEN
- - STRUCTURE
- - SUBTRACT
- - SY-CUCOL
- - SY-DATUM
- - SY-DYNNR
- - SY-LINSZ
- - SY-LOOPC
- - SY-LSIND
- - SY-MSGID
- - SY-MSGTY
- - SY-MSGV1
- - SY-MSGV2
- - SY-MSGV3
- - SY-MSGV4
- - SY-PAGNO
- - SY-REPID
- - SY-STEPL
- - SY-SUBRC
- - SY-TABIX
- - SY-TCODE
- - SY-TMAXL
- - SY-UCOMM
- - SY-ULINE
- - SY-UNAME
- - SY-UZEIT
- - SY-VLINE
- - TABLE
- - TABLES
- - TABLEVIEW
- - TIMES
- - TITLE
- - TITLEBAR
- - TO
- - TRAILING
- - TRANSPORTING
- - TYPE
- - TYPE-POOLS
- - TYPES
- - ULINE
- - UP
- - UPDATE
- - USING
- - VALUE
- - WHEN
- - WHERE
- - WHILE
- - WITH
- - WORK
- - WRITE
- - AFTER
- - BEFORE
- - CALL
- - DURING
- - ENDFORM
- - END-OF-SELECTION
- - FORM
- - FUNCTION
- - INCLUDE
- - LINE-SELECTION
- - PROCESS
- - START-OF-SELECTION
- - TOP-OF-PAGE
- - TRANSACTION
- - USER-COMMAND
+ - ADD
+ - ADJACENT
+ - ALL
+ - AND
+ - APPEND
+ - APPENDING
+ - AS
+ - ASCENDING
+ - AT
+ - BEGIN
+ - BETWEEN
+ - BINARY
+ - BLOCK
+ - BY
+ - CASE
+ - CENTERED
+ - CHAIN
+ - CHANGING
+ - CHECK
+ - CHECKBOX
+ - CLEAR
+ - COL_BACKGROUND
+ - COL_HEADING
+ - COL_NORMAL
+ - COL_TOTAL
+ - COLOR
+ - COMMENT
+ - COMMIT
+ - COMPARING
+ - COMPUTE
+ - CONCATENATE
+ - CONDENSE
+ - CONSTANTS
+ - CONTINUE
+ - CONTROLS
+ - COUNTRY
+ - DATA
+ - DECIMALS
+ - DEFAULT
+ - DELETE
+ - DELETING
+ - DESCENDING
+ - DESCRIBE
+ - DO
+ - DUPLICATES
+ - EDIT
+ - ELSE
+ - ELSEIF
+ - END
+ - ENDCASE
+ - ENDCHAIN
+ - ENDDO
+ - ENDIF
+ - ENDLOOP
+ - ENDMODULE
+ - ENDSELECT
+ - ENDWHILE
+ - ENTRIES
+ - EQ
+ - EXCEPTIONS
+ - EXCLUDING
+ - EXIT
+ - EXIT-COMMAND
+ - EXPORT
+ - EXPORTING
+ - FIELD
+ - FIRST
+ - FOR
+ - FORMAT
+ - FRAME
+ - FREE
+ - FROM
+ - GE
+ - GROUP
+ - GT
+ - HEADER
+ - HEADING
+ - HIDE
+ - HOTSPOT
+ - ID
+ - IF
+ - IMPORT
+ - IMPORTING
+ - IN
+ - INDEX
+ - INITIAL
+ - INNER
+ - INPUT
+ - INSERT
+ - INTENSIFIED
+ - INTERVALS
+ - INTO
+ - IS
+ - JOIN
+ - KEY
+ - LE
+ - LEAVE
+ - LEFT
+ - LEFT-JUSTIFIED
+ - LIKE
+ - LINE
+ - LINE-COUNT
+ - LINES
+ - LINES
+ - LINE-SIZE
+ - LIST-PROCESSING
+ - LOOP
+ - LT
+ - MASK
+ - MEMORY
+ - MESSAGE
+ - MESSAGE-ID
+ - MOD
+ - MODIFY
+ - MODULE
+ - MOVE
+ - MOVE-CORRESPONDING
+ - NE
+ - NEW-LINE
+ - NEW-PAGE
+ - NO
+ - NO-EXTENSION
+ - NO-GAP
+ - NO-SCROLLING
+ - NOT
+ - NO-ZERO
+ - NUMBER
+ - OBLIGATORY
+ - OCCURS
+ - OF
+ - OFF
+ - ON
+ - OR
+ - OTHERS
+ - OUTPUT
+ - PAGE
+ - PARAMETER
+ - PARAMETERS
+ - PERFORM
+ - PF-STATUS
+ - POS_HIGH
+ - POS_LOW
+ - POSITION
+ - PROGRAM
+ - RADIOBUTTON
+ - RANGES
+ - READ
+ - REFRESH
+ - REPORT
+ - RESERVE
+ - RESET
+ - RIGHT
+ - RIGHT-JUSTIFIED
+ - ROLLBACK
+ - ROWS
+ - SCREEN
+ - SCREEN-GROUP1
+ - SCREEN-GROUP2
+ - SCREEN-GROUP3
+ - SCREEN-GROUP4
+ - SCREEN-GROUP5
+ - SCREEN-INPUT
+ - SCREEN-INTENSIFIED
+ - SEARCH
+ - SELECT
+ - SELECTION
+ - SELECTION-SCREEN
+ - SELECT-OPTIONS
+ - SEPARATED
+ - SET
+ - SHIFT
+ - SINGLE
+ - SKIP
+ - SORT
+ - SPACE
+ - SPLIT
+ - STANDARD
+ - STARTING
+ - STOP
+ - STRLEN
+ - STRUCTURE
+ - SUBTRACT
+ - SY-CUCOL
+ - SY-DATUM
+ - SY-DYNNR
+ - SY-LINSZ
+ - SY-LOOPC
+ - SY-LSIND
+ - SY-MSGID
+ - SY-MSGTY
+ - SY-MSGV1
+ - SY-MSGV2
+ - SY-MSGV3
+ - SY-MSGV4
+ - SY-PAGNO
+ - SY-REPID
+ - SY-STEPL
+ - SY-SUBRC
+ - SY-TABIX
+ - SY-TCODE
+ - SY-TMAXL
+ - SY-UCOMM
+ - SY-ULINE
+ - SY-UNAME
+ - SY-UZEIT
+ - SY-VLINE
+ - TABLE
+ - TABLES
+ - TABLEVIEW
+ - TIMES
+ - TITLE
+ - TITLEBAR
+ - TO
+ - TRAILING
+ - TRANSPORTING
+ - TYPE
+ - TYPE-POOLS
+ - TYPES
+ - ULINE
+ - UP
+ - UPDATE
+ - USING
+ - VALUE
+ - WHEN
+ - WHERE
+ - WHILE
+ - WITH
+ - WORK
+ - WRITE
+ - AFTER
+ - BEFORE
+ - CALL
+ - DURING
+ - ENDFORM
+ - END-OF-SELECTION
+ - FORM
+ - FUNCTION
+ - INCLUDE
+ - LINE-SELECTION
+ - PROCESS
+ - START-OF-SELECTION
+ - TOP-OF-PAGE
+ - TRANSACTION
+ - USER-COMMAND
diff --git a/kate/data/abc.xml b/kate/data/abc.xml
index 76fccdfc0..a4c3d9f6d 100644
--- a/kate/data/abc.xml
+++ b/kate/data/abc.xml
@@ -2,7 +2,7 @@
-
+
@@ -38,7 +38,6 @@
-
@@ -66,7 +65,6 @@
-
diff --git a/kate/data/alert_indent.xml b/kate/data/alert_indent.xml
index 5d39117e0..37e4b9644 100644
--- a/kate/data/alert_indent.xml
+++ b/kate/data/alert_indent.xml
@@ -1,32 +1,6 @@
-
-
+
diff --git a/kate/data/asn1.xml b/kate/data/asn1.xml
index 6d6d984eb..85668071a 100644
--- a/kate/data/asn1.xml
+++ b/kate/data/asn1.xml
@@ -9,36 +9,37 @@
Look at language.dtd for some documentation of the allowed elements and their attributes.
There is also a description of how to validate your syntax file.
-->
-
+
- - DEFINITIONS
- - BEGIN
- - END
- - EXPORTS
- - IMPORTS
- - FROM
- - APPLICATION
- - PRIVATE
- - UNIVERSAL
- - DEFAULT
- - OPTIONAL
- - FALSE
- - TRUE
+ - DEFINITIONS
+ - BEGIN
+ - END
+ - EXPORTS
+ - IMPORTS
+ - FROM
+ - APPLICATION
+ - PRIVATE
+ - UNIVERSAL
+ - DEFAULT
+ - OPTIONAL
+ - FALSE
+ - TRUE
- - BOOLEAN
- - INTEGER
- - OCTET STRING
- - NULL
- - REAL
- - ENUMERATED
- - SEQUENCE
- - SET
- - CHOICE
- - OF
- - VisibleString
- - StringStore
+ - BOOLEAN
+ - INTEGER
+ - OCTET
+ - STRING
+ - NULL
+ - REAL
+ - ENUMERATED
+ - SEQUENCE
+ - SET
+ - CHOICE
+ - OF
+ - VisibleString
+ - StringStore
diff --git a/kate/data/bitbake.xml b/kate/data/bitbake.xml
index d971d0bbe..056edf653 100644
--- a/kate/data/bitbake.xml
+++ b/kate/data/bitbake.xml
@@ -9,106 +9,106 @@
-->
- - after
- - before
- - python
- - branch
- - bareclone
- - protocol
- - name
- - if
- - fi
- - then
- - rm
- - ln
- - cp
- - for
- - done
- - cat
+ - after
+ - before
+ - python
+ - branch
+ - bareclone
+ - protocol
+ - name
+ - if
+ - fi
+ - then
+ - rm
+ - ln
+ - cp
+ - for
+ - done
+ - cat
- - do_build
- - do_compile
- - do_compile_append
- - do_compile_prepend
- - do_compile_ptest_base
- - do_configure
- - do_configure_append
- - do_configure_prepend
- - do_configure_ptest_base
- - do_deploy
- - do_fetch
- - do_install
- - do_install_append
- - do_install_prepend
- - do_install_ptest_base
- - do_package
- - do_package_qa
- - do_package_write_deb
- - do_package_write_ipk
- - do_package_write_rpm
- - do_package_write_tar
- - do_packagedata
- - do_patch
- - do_populate_lic
- - do_populate_sdk
- - do_populate_sysroot
- - do_rm_work
- - do_rm_work_all
- - do_unpack
- - do_checkuri
- - do_checkuriall
- - do_clean
- - do_cleanall
- - do_cleansstate
- - do_devshell
- - do_fetchall
- - do_listtasks
- - do_package_index
- - do_bootimg
- - do_bundle_initramfs
- - do_rootfs
- - do_testimage
- - do_testimage_auto
- - do_vmdkimg
- - do_compile_kernelmodules
- - do_diffconfig
- - do_kernel_checkout
- - do_kernel_configcheck
- - do_kernel_configme
- - do_kernel_link_vmlinux
- - do_menuconfig
- - do_savedefconfig
- - do_sizecheck
- - do_strip
- - do_uboot_mkimage
- - do_validate_branches
- - do_generate_qt_config_file
- - do_spdx
- - oe_runmake
- - export
- - install
- - kernel_configme
- - validate_branches
+ - do_build
+ - do_compile
+ - do_compile_append
+ - do_compile_prepend
+ - do_compile_ptest_base
+ - do_configure
+ - do_configure_append
+ - do_configure_prepend
+ - do_configure_ptest_base
+ - do_deploy
+ - do_fetch
+ - do_install
+ - do_install_append
+ - do_install_prepend
+ - do_install_ptest_base
+ - do_package
+ - do_package_qa
+ - do_package_write_deb
+ - do_package_write_ipk
+ - do_package_write_rpm
+ - do_package_write_tar
+ - do_packagedata
+ - do_patch
+ - do_populate_lic
+ - do_populate_sdk
+ - do_populate_sysroot
+ - do_rm_work
+ - do_rm_work_all
+ - do_unpack
+ - do_checkuri
+ - do_checkuriall
+ - do_clean
+ - do_cleanall
+ - do_cleansstate
+ - do_devshell
+ - do_fetchall
+ - do_listtasks
+ - do_package_index
+ - do_bootimg
+ - do_bundle_initramfs
+ - do_rootfs
+ - do_testimage
+ - do_testimage_auto
+ - do_vmdkimg
+ - do_compile_kernelmodules
+ - do_diffconfig
+ - do_kernel_checkout
+ - do_kernel_configcheck
+ - do_kernel_configme
+ - do_kernel_link_vmlinux
+ - do_menuconfig
+ - do_savedefconfig
+ - do_sizecheck
+ - do_strip
+ - do_uboot_mkimage
+ - do_validate_branches
+ - do_generate_qt_config_file
+ - do_spdx
+ - oe_runmake
+ - export
+ - install
+ - kernel_configme
+ - validate_branches
- - inherit
- - include
- - require
- - addtask
- - deltask
- - addhandler
- - EXPORT_FUNCTIONS
+ - inherit
+ - include
+ - require
+ - addtask
+ - deltask
+ - addhandler
+ - EXPORT_FUNCTIONS
@@ -129,7 +129,7 @@
-
+
@@ -161,8 +161,6 @@
-
-
diff --git a/kate/data/changelog.xml b/kate/data/changelog.xml
index 77c17ed55..e00481623 100644
--- a/kate/data/changelog.xml
+++ b/kate/data/changelog.xml
@@ -1,6 +1,6 @@
-
+
diff --git a/kate/data/cmake.xml b/kate/data/cmake.xml
index 6c2a7aa81..fa2e71900 100644
--- a/kate/data/cmake.xml
+++ b/kate/data/cmake.xml
@@ -1,12 +1,15 @@
-
+
+]>
-
+
-
-
-
- - add_compile_options
- - add_custom_command
- - add_custom_target
- - add_definitions
- - add_dependencies
- - add_executable
- - add_library
- - add_subdirectory
- - add_test
- - aux_source_directory
- - break
- - build_command
- - build_name
- - cmake_host_system_information
- - cmake_minimum_required
- - cmake_policy
- - configure_file
- - continue
- - create_test_sourcelist
- - ctest_build
- - ctest_configure
- - ctest_coverage
- - ctest_empty_binary_directory
- - ctest_memcheck
- - ctest_read_custom_files
- - ctest_run_script
- - ctest_sleep
- - ctest_start
- - ctest_submit
- - ctest_test
- - ctest_update
- - ctest_upload
- - define_property
- - else
- - elseif
- - enable_language
- - enable_testing
- - endforeach
- - endfunction
- - endif
- - endmacro
- - endwhile
- - exec_program
- - execute_process
- - export
- - export_library_dependencies
- - file
- - find_file
- - find_library
- - find_package
- - find_path
- - find_program
- - fltk_wrap_ui
- - foreach
- - function
- - get_cmake_property
- - get_directory_property
- - get_filename_component
- - get_property
- - get_source_file_property
- - get_target_property
- - get_test_property
- - if
- - include
- - include_directories
- - include_external_msproject
- - include_regular_expression
- - install
- - install_files
- - install_programs
- - install_targets
- - link_directories
- - link_libraries
- - list
- - load_cache
- - load_command
- - macro
- - make_directory
- - mark_as_advanced
- - math
- - message
- - option
- - output_required_files
- - project
- - qt_wrap_cpp
- - qt_wrap_ui
- - remove
- - remove_definitions
- - return
- - separate_arguments
- - set
- - set_directory_properties
- - set_property
- - set_source_files_properties
- - set_target_properties
- - set_tests_properties
- - site_name
- - source_group
- - string
- - subdir_depends
- - subdirs
- - target_compile_definitions
- - target_compile_features
- - target_compile_options
- - target_include_directories
- - target_link_libraries
- - target_sources
- - try_compile
- - try_run
- - unset
- - use_mangled_mesa
- - utility_source
- - variable_requires
- - variable_watch
- - while
- - write_file
+
+ - break
+ - cmake_host_system_information
+ - cmake_minimum_required
+ - cmake_parse_arguments
+ - cmake_policy
+ - configure_file
+ - continue
+ - elseif
+ - else
+ - endforeach
+ - endfunction
+ - endif
+ - endmacro
+ - endwhile
+ - execute_process
+ - file
+ - find_file
+ - find_library
+ - find_package
+ - find_path
+ - find_program
+ - foreach
+ - function
+ - get_cmake_property
+ - get_directory_property
+ - get_filename_component
+ - get_property
+ - if
+ - include
+ - include_guard
+ - list
+ - macro
+ - mark_as_advanced
+ - math
+ - message
+ - option
+ - return
+ - separate_arguments
+ - set_directory_properties
+ - set_property
+ - set
+ - site_name
+ - string
+ - unset
+ - variable_watch
+ - while
+ - add_compile_definitions
+ - add_compile_options
+ - add_custom_command
+ - add_custom_target
+ - add_definitions
+ - add_dependencies
+ - add_executable
+ - add_library
+ - add_subdirectory
+ - add_test
+ - aux_source_directory
+ - build_command
+ - create_test_sourcelist
+ - define_property
+ - enable_language
+ - enable_testing
+ - export
+ - fltk_wrap_ui
+ - get_source_file_property
+ - get_target_property
+ - get_test_property
+ - include_directories
+ - include_external_msproject
+ - include_regular_expression
+ - install
+ - link_directories
+ - link_libraries
+ - load_cache
+ - project
+ - qt_wrap_cpp
+ - qt_wrap_ui
+ - remove_definitions
+ - set_source_files_properties
+ - set_target_properties
+ - set_tests_properties
+ - source_group
+ - target_compile_definitions
+ - target_compile_features
+ - target_compile_options
+ - target_include_directories
+ - target_link_libraries
+ - target_sources
+ - try_compile
+ - try_run
+ - ctest_build
+ - ctest_configure
+ - ctest_coverage
+ - ctest_empty_binary_directory
+ - ctest_memcheck
+ - ctest_read_custom_files
+ - ctest_run_script
+ - ctest_sleep
+ - ctest_start
+ - ctest_submit
+ - ctest_test
+ - ctest_update
+ - ctest_upload
-
-
- - itk_wrap_tcl
- - vtk_make_instantiator
- - vtk_wrap_java
- - vtk_wrap_python
- - vtk_wrap_tcl
+
+
+ - QUERY
+ - RESULT
-
-
-
-
- - AFTER
- - ALIAS
- - ALL
- - ALPHABET
- - AND
- - APPEND
- - APPENDNUMBER_ERRORS
- - APPEND_STRING
- - ARCHIVE
- - ARGS
- - ASCII
- - AUTHOR_WARNING
- - BEFORE
- - BRIEF_DOCS
- - BUILD
- - BUNDLE
- - BYPRODUCTS
- - CACHE
- - CACHED_VARIABLE
- - CDASH_UPLOAD
- - CDASH_UPLOAD_TYPE
- - CLEAR
- - CMAKE_FIND_ROOT_PATH_BOTH
- - CMAKE_FLAGS
- - CODE
- - COMMAND
- - COMMAND_NAME
- - COMMENT
- - COMPARE
- - COMPILE_DEFINITIONS
- - COMPILE_OUTPUT_VARIABLE
- - COMPILE_RESULT_VAR
- - COMPONENT
- - COMPONENTS
- - CONCAT
- - CONDITION
- - CONFIG
- - CONFIGS
- - CONFIGURATION
- - CONFIGURATIONS
- - CONFIGURE
- - CONTENT
- - COPY
- - COPYONLY
- - COPY_FILE
- - COPY_FILE_ERROR
- - CRLF
- - DEFINED
- - DEFINITION
- - DEPENDS
- - DESTINATION
- - DIRECTORY
- - DIRECTORY_PERMISSIONS
- - DOC
- - DOS
- - DOWNLOAD
- - ENV
- - END
- - EQUAL
- - ERROR_FILE
- - ERROR_QUIET
- - ERROR_STRIP_TRAILING_WHITESPACE
- - ERROR_VARIABLE
- - ESCAPE_QUOTES
- - EXACT
- - EXCLUDE
- - EXCLUDE_FROM_ALL
- - EXCLUDE_LABEL
- - EXISTS
- - EXPECTED_HASH
- - EXPECTED_MD5
- - EXPORT
- - EXPORT_LINK_INTERFACE_LIBRARIES
- - EXPR
- - EXTRA_INCLUDE
- - FATAL_ERROR
- - FILE
- - FILES
- - FILES_MATCHING
- - FILE_PERMISSIONS
- - FIND
- - FLAGS
- - FOLLOW_SYMLINKS
- - FORCE
- - FRAMEWORK
- - FULL_DOCS
- - FUNCTION
- - GENERATE
- - GENEX_STRIP
- - GET
- - GLOB
- - GLOBAL
- - GLOB_RECURSE
- - GREATER
- - GROUP_EXECUTE
- - GROUP_READ
- - GUARD
- - GUID
- - HEX
- - HINTS
- - IMPLICIT_DEPENDS
- - IMPORTED
- - IN
- - INACTIVITY_TIMEOUT
- - INCLUDE
- - INCLUDES
- - INCLUDE_INTERNALS
- - INCLUDE_LABEL
- - INHERITED
- - INPUT
- - INPUT_FILE
- - INSERT
- - INSTALL
- - INTERFACE
- - IS_ABSOLUTE
- - IS_DIRECTORY
- - IS_NEWER_THAN
- - IS_SYMLINK
- - ITEMS
- - LABELS
- - LANGUAGES
- - LENGTH
- - LENGTH_MAXIMUM
- - LENGTH_MINIMUM
- - LESS
- - LF
- - LIBRARY
- - LIMIT
- - LIMIT_COUNT
- - LIMIT_INPUT
- - LIMIT_OUTPUT
- - LINK_INTERFACE_LIBRARIES
- - LINK_LIBRARIES
- - LINK_PRIVATE
- - LINK_PUBLIC
- - LISTS
- - LIST_DIRECTORIES
- - LOCK
- - LOG
- - MACOSX_BUNDLE
- - MAIN_DEPENDENCY
- - MAKE_C_IDENTIFIER
- - MAKE_DIRECTORY
- - MATCH
- - MATCHALL
- - MATCHES
- - MD5
- - MESSAGE_NEVER
- - MODULE
- - NAME
- - NAMELINK_ONLY
- - NAMELINK_SKIP
- - NAMES
- - NAMESPACE
- - NAMES_PER_DIR
- - NEW
- - NEWLINE_CONSUME
- - NEWLINE_STYLE
- - NEW_PROCESS
- - NOT
- - NOTEQUAL
- - NO_CMAKE_BUILDS_PATH
- - NO_CMAKE_ENVIRONMENT_PATH
- - NO_CMAKE_FIND_ROOT_PATH
- - NO_CMAKE_PACKAGE_REGISTRY
- - NO_CMAKE_PATH
- - NO_CMAKE_SYSTEM_PACKAGE_REGISTRY
- - NO_CMAKE_SYSTEM_PATH
- - NO_DEFAULT_PATH
- - NO_HEX_CONVERSION
- - NO_MODULE
- - NO_POLICY_SCOPE
- - NO_SOURCE_PERMISSIONS
- - NO_SYSTEM_ENVIRONMENT_PATH
- - NUMBER_ERRORS
- - NUMBER_WARNINGS
- - OBJECT
- - OFF
- - OFFSET
- - OLD
- - ON
- - ONLY_CMAKE_FIND_ROOT_PATH
- - OPTIONAL
- - OPTIONAL_COMPONENTS
- - OPTIONS
- - OR
- - OUTPUT
- - OUTPUT_DIRECTORY
- - OUTPUT_FILE
- - OUTPUT_QUIET
- - OUTPUT_STRIP_TRAILING_WHITESPACE
- - OUTPUT_VARIABLE
- - OWNER_EXECUTE
- - OWNER_READ
- - OWNER_WRITE
- - PACKAGE
- - PARALLEL_LEVEL
- - PARENT_SCOPE
- - PARTS
- - PATHS
- - PATH_SUFFIXES
- - PATH_TO_MESA
- - PATTERN
- - PERMISSIONS
- - PLATFORM
- - POLICY
- - POP
- - POST_BUILD
- - PREORDER
- - PRE_BUILD
- - PRE_LINK
- - PRIVATE
- - PRIVATE_HEADER
- - PROCESS
- - PROGRAM
- - PROGRAMS
- - PROGRAM_ARGS
- - PROJECT_NAME
- - PROPERTIES
- - PROPERTY
- - PUBLIC
- - PUBLIC_HEADER
- - PUSH
- - QUERY
- - QUIET
- - RANDOM
- - RANDOM_SEED
- - RANGE
- - READ
- - READ_WITH_PREFIX
- - REGEX
- - REGULAR_EXPRESSION
- - RELATIVE
- - RELATIVE_PATH
- - RELEASE
- - REMOVE
- - REMOVE_AT
- - REMOVE_DUPLICATES
- - REMOVE_ITEM
- - REMOVE_RECURSE
- - RENAME
- - REPLACE
- - REQUIRED
- - REQUIRED_VARIABLE1
- - REQUIRED_VARIABLE2
- - RESOURCE
- - RESULT
- - RESULT_VAR
- - RESULT_VARIABLE
- - RETRY_COUNT
- - RETRY_DELAY
- - RETURN_VALUE
- - REVERSE
- - RUNTIME
- - RUNTIME_DIRECTORY
- - RUN_OUTPUT_VARIABLE
- - RUN_RESULT_VAR
- - SCHEDULE_RANDOM
- - SCRIPT
- - SEND_ERROR
- - SET
- - SHA1
- - SHA224
- - SHA256
- - SHA384
- - SHA512
- - SHARED
- - SHOW_PROGRESS
- - SORT
- - SOURCE
- - SOURCES
- - START
- - STATIC
- - STATUS
- - STREQUAL
- - STRGREATER
- - STOP_TIME
- - STRIDE
- - STRINGS
- - STRIP
- - STRLESS
- - SUBSTRING
- - SYSTEM
- - TARGET
- - TARGETS
- - TEST
- - TEST_VARIABLE
- - TIMEOUT
- - TIMESTAMP
- - TLS_CAINFO
- - TLS_VERIFY
- - TOLOWER
- - TOUPPER
- - TO_CMAKE_PATH
- - TO_NATIVE_PATH
- - TRACK
- - TYPE
- - UNIX
- - UNIX_COMMAND
- - UNKNOWN
- - UPLOAD
- - UPPER
- - USES_TERMINAL
- - USE_SOURCE_PERMISSIONS
- - UTC
- - UUID
- - VALUE
- - VARIABLE
- - VERBATIM
- - VERSION
- - VERSION_EQUAL
- - VERSION_GREATER
- - VERSION_LESS
- - WARNING
- - WIN32
- - WINDOWS_COMMAND
- - WORKING_DIRECTORY
- - WRITE
+
+ - AVAILABLE_PHYSICAL_MEMORY
+ - AVAILABLE_VIRTUAL_MEMORY
+ - FQDN
+ - HAS_AMD_3DNOW
+ - HAS_AMD_3DNOW_PLUS
+ - HAS_FPU
+ - HAS_IA64
+ - HAS_MMX
+ - HAS_MMX_PLUS
+ - HAS_SERIAL_NUMBER
+ - HAS_SSE
+ - HAS_SSE2
+ - HAS_SSE_FP
+ - HAS_SSE_MMX
+ - HOSTNAME
+ - IS_64BIT
+ - NUMBER_OF_LOGICAL_CORES
+ - NUMBER_OF_PHYSICAL_CORES
+ - OS_NAME
+ - OS_PLATFORM
+ - OS_RELEASE
+ - OS_VERSION
+ - PROCESSOR_DESCRIPTION
+ - PROCESSOR_NAME
+ - PROCESSOR_SERIAL_NUMBER
+ - TOTAL_PHYSICAL_MEMORY
+ - TOTAL_VIRTUAL_MEMORY
-
-
-
-
- - PATH
- - NAME
- - EXT
- - NAME_WE
- - ABSOLUTE
- - REALPATH
-
- - FILEPATH
-
- - STRING
- - BOOL
- - INTERNAL
-
- - NUMBER_OF_LOGICAL_CORES
- - NUMBER_OF_PHYSICAL_CORES
- - HOSTNAME
- - FQDN
- - TOTAL_VIRTUAL_MEMORY
- - AVAILABLE_VIRTUAL_MEMORY
- - TOTAL_PHYSICAL_MEMORY
- - AVAILABLE_PHYSICAL_MEMORY
-
- - IN_LIST
+
+ - FATAL_ERROR
+ - VERSION
-
-
-
-
- - ABSTRACT
- - ADDITIONAL_MAKE_CLEAN_FILES
- - ADVANCED
- - ALIASED_TARGET
- - ALLOW_DUPLICATE_CUSTOM_TARGETS
- - ANDROID_API
- - ANDROID_API_MIN
- - ANDROID_GUI
- - ARCHIVE_OUTPUT_DIRECTORY
- - ARCHIVE_OUTPUT_NAME
- - ATTACHED_FILES
- - ATTACHED_FILES_ON_FAIL
- - AUTOGEN_TARGETS_FOLDER
- - AUTOGEN_TARGET_DEPENDS
- - AUTOMOC
- - AUTOMOC_MOC_OPTIONS
- - AUTOMOC_TARGETS_FOLDER
- - AUTORCC
- - AUTORCC_OPTIONS
- - AUTOUIC
- - AUTOUIC_OPTIONS
- - BUILD_WITH_INSTALL_RPATH
- - BUNDLE
- - BUNDLE_EXTENSION
- - CACHE_VARIABLES
- - CLEAN_NO_CUSTOM
- - CMAKE_CONFIGURE_DEPENDS
- - CMAKE_CXX_KNOWN_FEATURES
- - CMAKE_C_KNOWN_FEATURES
- - COMPATIBLE_INTERFACE_BOOL
- - COMPATIBLE_INTERFACE_NUMBER_MAX
- - COMPATIBLE_INTERFACE_NUMBER_MIN
- - COMPATIBLE_INTERFACE_STRING
- - COMPILE_DEFINITIONS
- - COMPILE_FEATURES
- - COMPILE_FLAGS
- - COMPILE_OPTIONS
- - COMPILE_PDB_NAME
- - COMPILE_PDB_OUTPUT_DIRECTORY
- - COST
- - CPACK_DESKTOP_SHORTCUTS
- - CPACK_NEVER_OVERWRITE
- - CPACK_PERMANENT
- - CPACK_STARTUP_SHORTCUTS
- - CPACK_START_MENU_SHORTCUTS
- - CPACK_WIX_ACL
- - CROSSCOMPILING_EMULATOR
- - CXX_EXTENSIONS
- - CXX_STANDARD
- - CXX_STANDARD_REQUIRED
- - C_EXTENSIONS
- - C_STANDARD
- - C_STANDARD_REQUIRED
- - DEBUG_CONFIGURATIONS
- - DEBUG_POSTFIX
- - DEFINE_SYMBOL
- - DEFINITIONS
- - DEPENDS
- - DISABLED_FEATURES
- - ECLIPSE_EXTRA_NATURES
- - ENABLED_FEATURES
- - ENABLED_LANGUAGES
- - ENABLE_EXPORTS
- - ENVIRONMENT
- - EXCLUDE_FROM_ALL
- - EXCLUDE_FROM_DEFAULT_BUILD
- - EXPORT_NAME
- - EXTERNAL_OBJECT
- - EchoString
- - FAIL_REGULAR_EXPRESSION
- - FIND_LIBRARY_USE_LIB64_PATHS
- - FIND_LIBRARY_USE_OPENBSD_VERSIONING
- - FOLDER
- - FRAMEWORK
- - Fortran_FORMAT
- - Fortran_MODULE_DIRECTORY
- - GENERATED
- - GENERATOR_FILE_NAME
- - GLOBAL_DEPENDS_DEBUG_MODE
- - GLOBAL_DEPENDS_NO_CYCLES
- - GNUtoMS
- - HAS_CXX
- - HEADER_FILE_ONLY
- - HELPSTRING
- - IMPLICIT_DEPENDS_INCLUDE_TRANSFORM
- - IMPORTED
- - IMPORTED_CONFIGURATIONS
- - IMPORTED_IMPLIB
- - IMPORTED_LINK_DEPENDENT_LIBRARIES
- - IMPORTED_LINK_INTERFACE_LANGUAGES
- - IMPORTED_LINK_INTERFACE_LIBRARIES
- - IMPORTED_LINK_INTERFACE_MULTIPLICITY
- - IMPORTED_LOCATION
- - IMPORTED_NO_SONAME
- - IMPORTED_SONAME
- - IMPORT_PREFIX
- - IMPORT_SUFFIX
- - INCLUDE_DIRECTORIES
- - INCLUDE_REGULAR_EXPRESSION
- - INSTALL_NAME_DIR
- - INSTALL_RPATH
- - INSTALL_RPATH_USE_LINK_PATH
- - INTERFACE_AUTOUIC_OPTIONS
- - INTERFACE_COMPILE_DEFINITIONS
- - INTERFACE_COMPILE_FEATURES
- - INTERFACE_COMPILE_OPTIONS
- - INTERFACE_INCLUDE_DIRECTORIES
- - INTERFACE_LINK_LIBRARIES
- - INTERFACE_POSITION_INDEPENDENT_CODE
- - INTERFACE_SOURCES
- - INTERFACE_SYSTEM_INCLUDE_DIRECTORIES
- - INTERPROCEDURAL_OPTIMIZATION
- - IN_TRY_COMPILE
- - JOB_POOLS
- - JOB_POOL_COMPILE
- - JOB_POOL_LINK
- - KEEP_EXTENSION
- - LABELS
- - LANGUAGE
- - LIBRARY_OUTPUT_DIRECTORY
- - LIBRARY_OUTPUT_NAME
- - LINKER_LANGUAGE
- - LINK_DEPENDS
- - LINK_DEPENDS_NO_SHARED
- - LINK_DIRECTORIES
- - LINK_FLAGS
- - LINK_INTERFACE_LIBRARIES
- - LINK_INTERFACE_MULTIPLICITY
- - LINK_LIBRARIES
- - LINK_SEARCH_END_STATIC
- - LINK_SEARCH_START_STATIC
- - LISTFILE_STACK
- - LOCATION
- - MACOSX_BUNDLE
- - MACOSX_BUNDLE_INFO_PLIST
- - MACOSX_FRAMEWORK_INFO_PLIST
- - MACOSX_PACKAGE_LOCATION
- - MACOSX_RPATH
- - MACROS
- - MEASUREMENT
- - MODIFIED
- - NAME
- - NO_SONAME
- - NO_SYSTEM_FROM_IMPORTED
- - OBJECT_DEPENDS
- - OBJECT_OUTPUTS
- - OSX_ARCHITECTURES
- - OUTPUT_NAME
- - PACKAGES_FOUND
- - PACKAGES_NOT_FOUND
- - PARENT_DIRECTORY
- - PASS_REGULAR_EXPRESSION
- - PDB_NAME
- - PDB_OUTPUT_DIRECTORY
- - POSITION_INDEPENDENT_CODE
- - POST_INSTALL_SCRIPT
- - PREDEFINED_TARGETS_FOLDER
- - PREFIX
- - PRE_INSTALL_SCRIPT
- - PRIVATE_HEADER
- - PROCESSORS
- - PROJECT_LABEL
- - PUBLIC_HEADER
- - REPORT_UNDEFINED_PROPERTIES
- - REQUIRED_FILES
- - RESOURCE
- - RESOURCE_LOCK
- - RULE_LAUNCH_COMPILE
- - RULE_LAUNCH_CUSTOM
- - RULE_LAUNCH_LINK
- - RULE_MESSAGES
- - RUNTIME_OUTPUT_DIRECTORY
- - RUNTIME_OUTPUT_NAME
- - RUN_SERIAL
- - SKIP_BUILD_RPATH
- - SKIP_RETURN_CODE
- - SOURCES
- - SOVERSION
- - STATIC_LIBRARY_FLAGS
- - STRINGS
- - SUFFIX
- - SYMBOLIC
- - TARGET_ARCHIVES_MAY_BE_SHARED_LIBS
- - TARGET_SUPPORTS_SHARED_LIBS
- - TEST_INCLUDE_FILE
- - TIMEOUT
- - TYPE
- - USE_FOLDERS
- - VALUE
- - VARIABLES
- - VERSION
- - VISIBILITY_INLINES_HIDDEN
- - VS_DEPLOYMENT_CONTENT
- - VS_DEPLOYMENT_LOCATION
- - VS_DOTNET_REFERENCES
- - VS_DOTNET_TARGET_FRAMEWORK_VERSION
- - VS_GLOBAL_KEYWORD
- - VS_GLOBAL_PROJECT_TYPES
- - VS_GLOBAL_ROOTNAMESPACE
- - VS_KEYWORD
- - VS_SCC_AUXPATH
- - VS_SCC_LOCALPATH
- - VS_SCC_PROJECTNAME
- - VS_SCC_PROVIDER
- - VS_SHADER_ENTRYPOINT
- - VS_SHADER_FLAGS
- - VS_SHADER_MODEL
- - VS_SHADER_TYPE
- - VS_WINRT_COMPONENT
- - VS_WINRT_EXTENSIONS
- - VS_WINRT_REFERENCES
- - VS_XAML_TYPE
- - WILL_FAIL
- - WIN32_EXECUTABLE
- - WORKING_DIRECTORY
- - WRAP_EXCLUDE
- - XCODE_EXPLICIT_FILE_TYPE
- - XCODE_LAST_KNOWN_FILE_TYPE
- - XCTEST
+
+ - PARSE_ARGV
-
-
-
- - COMMANDS
- - DEFINITION
+
+ - GET
+ - POP
+ - PUSH
+ - SET
+ - VERSION
-
-
-
-
- - APPLE
- - BORLAND
- - BUILD_SHARED_LIBS
- - CMAKE_ABSOLUTE_DESTINATION_FILES
- - CMAKE_ANDROID_API
- - CMAKE_ANDROID_API_MIN
- - CMAKE_ANDROID_GUI
- - CMAKE_APPBUNDLE_PATH
- - CMAKE_AR
- - CMAKE_ARCHIVE_OUTPUT_DIRECTORY
- - CMAKE_ARGC
- - CMAKE_ARGV0
- - CMAKE_AUTOMOC
- - CMAKE_AUTOMOC_MOC_OPTIONS
- - CMAKE_AUTOMOC_RELAXED_MODE
- - CMAKE_AUTORCC
- - CMAKE_AUTORCC_OPTIONS
- - CMAKE_AUTOUIC
- - CMAKE_AUTOUIC_OPTIONS
- - CMAKE_BACKWARDS_COMPATIBILITY
- - CMAKE_BINARY_DIR
- - CMAKE_BUILD_TOOL
- - CMAKE_BUILD_TYPE
- - CMAKE_BUILD_WITH_INSTALL_RPATH
- - CMAKE_CACHEFILE_DIR
- - CMAKE_CACHE_MAJOR_VERSION
- - CMAKE_CACHE_MINOR_VERSION
- - CMAKE_CACHE_PATCH_VERSION
- - CMAKE_CFG_INTDIR
- - CMAKE_CL_64
- - CMAKE_COLOR_MAKEFILE
- - CMAKE_COMMAND
- - CMAKE_COMPILER_2005
- - CMAKE_COMPILE_PDB_OUTPUT_DIRECTORY
- - CMAKE_CONFIGURATION_TYPES
- - CMAKE_CROSSCOMPILING
- - CMAKE_CROSSCOMPILING_EMULATOR
- - CMAKE_CTEST_COMMAND
- - CMAKE_CURRENT_BINARY_DIR
- - CMAKE_CURRENT_LIST_DIR
- - CMAKE_CURRENT_LIST_FILE
- - CMAKE_CURRENT_LIST_LINE
- - CMAKE_CURRENT_SOURCE_DIR
- - CMAKE_CXX_COMPILE_FEATURES
- - CMAKE_CXX_EXTENSIONS
- - CMAKE_CXX_STANDARD
- - CMAKE_CXX_STANDARD_REQUIRED
- - CMAKE_C_COMPILE_FEATURES
- - CMAKE_C_EXTENSIONS
- - CMAKE_C_STANDARD
- - CMAKE_C_STANDARD_REQUIRED
- - CMAKE_DEBUG_POSTFIX
- - CMAKE_DEBUG_TARGET_PROPERTIES
- - CMAKE_DL_LIBS
- - CMAKE_EDIT_COMMAND
- - CMAKE_ERROR_DEPRECATED
- - CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION
- - CMAKE_EXECUTABLE_SUFFIX
- - CMAKE_EXE_LINKER_FLAGS
- - CMAKE_EXPORT_NO_PACKAGE_REGISTRY
- - CMAKE_EXTRA_GENERATOR
- - CMAKE_EXTRA_SHARED_LIBRARY_SUFFIXES
- - CMAKE_FIND_LIBRARY_PREFIXES
- - CMAKE_FIND_LIBRARY_SUFFIXES
- - CMAKE_FIND_NO_INSTALL_PREFIX
- - CMAKE_FIND_PACKAGE_NAME
- - CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY
- - CMAKE_FIND_PACKAGE_NO_SYSTEM_PACKAGE_REGISTRY
- - CMAKE_FIND_PACKAGE_WARN_NO_MODULE
- - CMAKE_FIND_ROOT_PATH
- - CMAKE_FIND_ROOT_PATH_MODE_INCLUDE
- - CMAKE_FIND_ROOT_PATH_MODE_LIBRARY
- - CMAKE_FIND_ROOT_PATH_MODE_PACKAGE
- - CMAKE_FIND_ROOT_PATH_MODE_PROGRAM
- - CMAKE_FRAMEWORK_PATH
- - CMAKE_Fortran_FORMAT
- - CMAKE_Fortran_MODDIR_DEFAULT
- - CMAKE_Fortran_MODDIR_FLAG
- - CMAKE_Fortran_MODOUT_FLAG
- - CMAKE_Fortran_MODULE_DIRECTORY
- - CMAKE_GENERATOR
- - CMAKE_GENERATOR_PLATFORM
- - CMAKE_GENERATOR_TOOLSET
- - CMAKE_GNUtoMS
- - CMAKE_HOME_DIRECTORY
- - CMAKE_HOST_APPLE
- - CMAKE_HOST_SYSTEM
- - CMAKE_HOST_SYSTEM_NAME
- - CMAKE_HOST_SYSTEM_PROCESSOR
- - CMAKE_HOST_SYSTEM_VERSION
- - CMAKE_HOST_UNIX
- - CMAKE_HOST_WIN32
- - CMAKE_IGNORE_PATH
- - CMAKE_IMPORT_LIBRARY_PREFIX
- - CMAKE_IMPORT_LIBRARY_SUFFIX
- - CMAKE_INCLUDE_CURRENT_DIR
- - CMAKE_INCLUDE_CURRENT_DIR_IN_INTERFACE
- - CMAKE_INCLUDE_DIRECTORIES_BEFORE
- - CMAKE_INCLUDE_DIRECTORIES_PROJECT_BEFORE
- - CMAKE_INCLUDE_PATH
- - CMAKE_INSTALL_DEFAULT_COMPONENT_NAME
- - CMAKE_INSTALL_MESSAGE
- - CMAKE_INSTALL_NAME_DIR
- - CMAKE_INSTALL_PREFIX
- - CMAKE_INSTALL_RPATH
- - CMAKE_INSTALL_RPATH_USE_LINK_PATH
- - CMAKE_INTERNAL_PLATFORM_ABI
- - CMAKE_JOB_POOL_COMPILE
- - CMAKE_JOB_POOL_LINK
- - CMAKE_LIBRARY_ARCHITECTURE
- - CMAKE_LIBRARY_ARCHITECTURE_REGEX
- - CMAKE_LIBRARY_OUTPUT_DIRECTORY
- - CMAKE_LIBRARY_PATH
- - CMAKE_LIBRARY_PATH_FLAG
- - CMAKE_LINK_DEF_FILE_FLAG
- - CMAKE_LINK_DEPENDS_NO_SHARED
- - CMAKE_LINK_INTERFACE_LIBRARIES
- - CMAKE_LINK_LIBRARY_FILE_FLAG
- - CMAKE_LINK_LIBRARY_FLAG
- - CMAKE_LINK_LIBRARY_SUFFIX
- - CMAKE_MACOSX_BUNDLE
- - CMAKE_MACOSX_RPATH
- - CMAKE_MAJOR_VERSION
- - CMAKE_MAKE_PROGRAM
- - CMAKE_MATCH_COUNT
- - CMAKE_MFC_FLAG
- - CMAKE_MINIMUM_REQUIRED_VERSION
- - CMAKE_MINOR_VERSION
- - CMAKE_MODULE_LINKER_FLAGS
- - CMAKE_MODULE_PATH
- - CMAKE_NOT_USING_CONFIG_FLAGS
- - CMAKE_NO_BUILTIN_CHRPATH
- - CMAKE_NO_SYSTEM_FROM_IMPORTED
- - CMAKE_OBJECT_PATH_MAX
- - CMAKE_OSX_ARCHITECTURES
- - CMAKE_OSX_DEPLOYMENT_TARGET
- - CMAKE_OSX_SYSROOT
- - CMAKE_PARENT_LIST_FILE
- - CMAKE_PATCH_VERSION
- - CMAKE_PDB_OUTPUT_DIRECTORY
- - CMAKE_POSITION_INDEPENDENT_CODE
- - CMAKE_PREFIX_PATH
- - CMAKE_PROGRAM_PATH
- - CMAKE_PROJECT_NAME
- - CMAKE_RANLIB
- - CMAKE_ROOT
- - CMAKE_RUNTIME_OUTPUT_DIRECTORY
- - CMAKE_SCRIPT_MODE_FILE
- - CMAKE_SHARED_LIBRARY_PREFIX
- - CMAKE_SHARED_LIBRARY_SUFFIX
- - CMAKE_SHARED_LINKER_FLAGS
- - CMAKE_SHARED_MODULE_PREFIX
- - CMAKE_SHARED_MODULE_SUFFIX
- - CMAKE_SIZEOF_VOID_P
- - CMAKE_SKIP_BUILD_RPATH
- - CMAKE_SKIP_INSTALL_ALL_DEPENDENCY
- - CMAKE_SKIP_INSTALL_RPATH
- - CMAKE_SKIP_INSTALL_RULES
- - CMAKE_SKIP_RPATH
- - CMAKE_SOURCE_DIR
- - CMAKE_STAGING_PREFIX
- - CMAKE_STANDARD_LIBRARIES
- - CMAKE_STATIC_LIBRARY_PREFIX
- - CMAKE_STATIC_LIBRARY_SUFFIX
- - CMAKE_STATIC_LINKER_FLAGS
- - CMAKE_SYSROOT
- - CMAKE_SYSTEM
- - CMAKE_SYSTEM_IGNORE_PATH
- - CMAKE_SYSTEM_INCLUDE_PATH
- - CMAKE_SYSTEM_LIBRARY_PATH
- - CMAKE_SYSTEM_NAME
- - CMAKE_SYSTEM_PREFIX_PATH
- - CMAKE_SYSTEM_PROCESSOR
- - CMAKE_SYSTEM_PROGRAM_PATH
- - CMAKE_SYSTEM_VERSION
- - CMAKE_TOOLCHAIN_FILE
- - CMAKE_TRY_COMPILE_CONFIGURATION
- - CMAKE_TWEAK_VERSION
- - CMAKE_USER_MAKE_RULES_OVERRIDE
- - CMAKE_USE_RELATIVE_PATHS
- - CMAKE_VERBOSE_MAKEFILE
- - CMAKE_VERSION
- - CMAKE_VISIBILITY_INLINES_HIDDEN
- - CMAKE_VS_DEVENV_COMMAND
- - CMAKE_VS_INCLUDE_INSTALL_TO_DEFAULT_BUILD
- - CMAKE_VS_INTEL_Fortran_PROJECT_VERSION
- - CMAKE_VS_MSBUILD_COMMAND
- - CMAKE_VS_MSDEV_COMMAND
- - CMAKE_VS_NsightTegra_VERSION
- - CMAKE_VS_PLATFORM_NAME
- - CMAKE_VS_PLATFORM_TOOLSET
- - CMAKE_WARN_DEPRECATED
- - CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION
- - CMAKE_WIN32_EXECUTABLE
- - CMAKE_XCODE_PLATFORM_TOOLSET
- - CPACK_ABSOLUTE_DESTINATION_FILES
- - CPACK_COMPONENT_INCLUDE_TOPLEVEL_DIRECTORY
- - CPACK_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION
- - CPACK_INCLUDE_TOPLEVEL_DIRECTORY
- - CPACK_INSTALL_SCRIPT
- - CPACK_PACKAGING_INSTALL_PREFIX
- - CPACK_SET_DESTDIR
- - CPACK_WARN_ON_ABSOLUTE_INSTALL_DESTINATION
- - CTEST_BINARY_DIRECTORY
- - CTEST_BUILD_COMMAND
- - CTEST_BUILD_NAME
- - CTEST_BZR_COMMAND
- - CTEST_BZR_UPDATE_OPTIONS
- - CTEST_CHECKOUT_COMMAND
- - CTEST_CONFIGURATION_TYPE
- - CTEST_CONFIGURE_COMMAND
- - CTEST_COVERAGE_COMMAND
- - CTEST_COVERAGE_EXTRA_FLAGS
- - CTEST_CURL_OPTIONS
- - CTEST_CVS_CHECKOUT
- - CTEST_CVS_COMMAND
- - CTEST_CVS_UPDATE_OPTIONS
- - CTEST_DROP_LOCATION
- - CTEST_DROP_METHOD
- - CTEST_DROP_SITE
- - CTEST_DROP_SITE_CDASH
- - CTEST_DROP_SITE_PASSWORD
- - CTEST_DROP_SITE_USER
- - CTEST_GIT_COMMAND
- - CTEST_GIT_UPDATE_CUSTOM
- - CTEST_GIT_UPDATE_OPTIONS
- - CTEST_HG_COMMAND
- - CTEST_HG_UPDATE_OPTIONS
- - CTEST_MEMORYCHECK_COMMAND
- - CTEST_MEMORYCHECK_COMMAND_OPTIONS
- - CTEST_MEMORYCHECK_SANITIZER_OPTIONS
- - CTEST_MEMORYCHECK_SUPPRESSIONS_FILE
- - CTEST_MEMORYCHECK_TYPE
- - CTEST_NIGHTLY_START_TIME
- - CTEST_P4_CLIENT
- - CTEST_P4_COMMAND
- - CTEST_P4_OPTIONS
- - CTEST_P4_UPDATE_OPTIONS
- - CTEST_SCP_COMMAND
- - CTEST_SITE
- - CTEST_SOURCE_DIRECTORY
- - CTEST_SVN_COMMAND
- - CTEST_SVN_OPTIONS
- - CTEST_SVN_UPDATE_OPTIONS
- - CTEST_TEST_TIMEOUT
- - CTEST_TRIGGER_SITE
- - CTEST_UPDATE_COMMAND
- - CTEST_UPDATE_OPTIONS
- - CTEST_UPDATE_VERSION_ONLY
- - CTEST_USE_LAUNCHERS
- - CYGWIN
- - ENV
- - EXECUTABLE_OUTPUT_PATH
- - GHS-MULTI
- - LIBRARY_OUTPUT_PATH
- - MINGW
- - MSVC
- - MSVC10
- - MSVC11
- - MSVC12
- - MSVC14
- - MSVC60
- - MSVC70
- - MSVC71
- - MSVC80
- - MSVC90
- - MSVC_IDE
- - MSVC_VERSION
- - PROJECT_BINARY_DIR
- - PROJECT_NAME
- - PROJECT_SOURCE_DIR
- - PROJECT_VERSION
- - PROJECT_VERSION_MAJOR
- - PROJECT_VERSION_MINOR
- - PROJECT_VERSION_PATCH
- - PROJECT_VERSION_TWEAK
- - UNIX
- - WIN32
- - WINCE
- - WINDOWS_PHONE
- - WINDOWS_STORE
- - XCODE_VERSION
+
+ - NEW
+ - OLD
+
+
+ - @ONLY
+ - COPYONLY
+ - ESCAPE_QUOTES
+ - NEWLINE_STYLE
+
+
+ - CRLF
+ - DOS
+ - LF
+ - UNIX
+ - WIN32
+
+
+ - AND
+ - DEFINED
+ - EQUAL
+ - EXISTS
+ - GREATER
+ - GREATER_EQUAL
+ - IN_LIST
+ - IS_ABSOLUTE
+ - IS_DIRECTORY
+ - IS_NEWER_THAN
+ - IS_SYMLINK
+ - LESS
+ - LESS_EQUAL
+ - MATCHES
+ - NOT
+ - OR
+ - POLICY
+ - STREQUAL
+ - STRGREATER
+ - STRGREATER_EQUAL
+ - STRLESS
+ - STRLESS_EQUAL
+ - TARGET
+ - TEST
+ - VERSION_EQUAL
+ - VERSION_GREATER
+ - VERSION_GREATER_EQUAL
+ - VERSION_LESS
+ - VERSION_LESS_EQUAL
+
+
+ - COMMAND
+ - ENCODING
+ - ERROR_FILE
+ - ERROR_QUIET
+ - ERROR_STRIP_TRAILING_WHITESPACE
+ - ERROR_VARIABLE
+ - INPUT_FILE
+ - OUTPUT_FILE
+ - OUTPUT_QUIET
+ - OUTPUT_STRIP_TRAILING_WHITESPACE
+ - OUTPUT_VARIABLE
+ - RESULTS_VARIABLE
+ - RESULT_VARIABLE
+ - TIMEOUT
+ - WORKING_DIRECTORY
+
+
+ - ANSI
+ - AUTO
+ - NONE
+ - OEM
+ - UTF8
+
+
+ - APPEND
+ - CONDITION
+ - CONFIGURE_DEPENDS
+ - CONTENT
+ - COPY
+ - DESTINATION
+ - DIRECTORY
+ - DIRECTORY_PERMISSIONS
+ - DOWNLOAD
+ - ENCODING
+ - EXCLUDE
+ - EXPECTED_HASH
+ - EXPECTED_MD5
+ - FILES_MATCHING
+ - FILE_PERMISSIONS
+ - FOLLOW_SYMLINKS
+ - GENERATE
+ - GLOB
+ - GLOB_RECURSE
+ - GUARD
+ - HEX
+ - HTTPHEADER
+ - INACTIVITY_TIMEOUT
+ - INPUT
+ - INSTALL
+ - LENGTH_MAXIMUM
+ - LENGTH_MINIMUM
+ - LIMIT
+ - LIMIT_COUNT
+ - LIMIT_INPUT
+ - LIMIT_OUTPUT
+ - LIST_DIRECTORIES
+ - LOCK
+ - LOG
+ - MAKE_DIRECTORY
+ - MD5
+ - NETRC
+ - NETRC_FILE
+ - NEWLINE_CONSUME
+ - NO_HEX_CONVERSION
+ - NO_SOURCE_PERMISSIONS
+ - OFFSET
+ - OUTPUT
+ - PATTERN
+ - PERMISSIONS
+ - READ
+ - REGEX
+ - RELATIVE
+ - RELATIVE_PATH
+ - RELEASE
+ - REMOVE
+ - REMOVE_RECURSE
+ - RENAME
+ - RESULT_VARIABLE
+ - SHA1
+ - SHA224
+ - SHA256
+ - SHA384
+ - SHA3_224
+ - SHA3_256
+ - SHA3_384
+ - SHA3_512
+ - SHA512
+ - SHOW_PROGRESS
+ - STATUS
+ - STRINGS
+ - TIMEOUT
+ - TIMESTAMP
+ - TLS_CAINFO
+ - TLS_VERIFY
+ - TOUCH
+ - TOUCH_NOCREATE
+ - TO_CMAKE_PATH
+ - TO_NATIVE_PATH
+ - UPLOAD
+ - USERPWD
+ - USE_SOURCE_PERMISSIONS
+ - UTC
+ - WRITE
+
+
+ - FILE
+ - FUNCTION
+ - GROUP_EXECUTE
+ - GROUP_READ
+ - GROUP_WRITE
+ - IGNORED
+ - OPTIONAL
+ - OWNER_EXECUTE
+ - OWNER_READ
+ - OWNER_WRITE
+ - PROCESS
+ - REQUIRED
+ - SETGID
+ - SETUID
+ - UTF-16BE
+ - UTF-16LE
+ - UTF-32B
+ - UTF-32LE
+ - UTF-8
+ - WORLD_EXECUTE
+ - WORLD_READ
+ - WORLD_WRITE
+
+
+ - CMAKE_FIND_ROOT_PATH_BOTH
+ - DOC
+ - HINTS
+ - NAMES
+ - NO_CMAKE_ENVIRONMENT_PATH
+ - NO_CMAKE_FIND_ROOT_PATH
+ - NO_CMAKE_PATH
+ - NO_CMAKE_SYSTEM_PATH
+ - NO_DEFAULT_PATH
+ - NO_PACKAGE_ROOT_PATH
+ - NO_SYSTEM_ENVIRONMENT_PATH
+ - ONLY_CMAKE_FIND_ROOT_PATH
+ - PATHS
+ - PATH_SUFFIXES
+
+
+ - CMAKE_FIND_ROOT_PATH_BOTH
+ - DOC
+ - HINTS
+ - NAMES
+ - NAMES_PER_DIR
+ - NO_CMAKE_ENVIRONMENT_PATH
+ - NO_CMAKE_FIND_ROOT_PATH
+ - NO_CMAKE_PATH
+ - NO_CMAKE_SYSTEM_PATH
+ - NO_DEFAULT_PATH
+ - NO_PACKAGE_ROOT_PATH
+ - NO_SYSTEM_ENVIRONMENT_PATH
+ - ONLY_CMAKE_FIND_ROOT_PATH
+ - PATHS
+ - PATH_SUFFIXES
+
+
+ - CMAKE_FIND_ROOT_PATH_BOTH
+ - COMPONENTS
+ - CONFIG
+ - CONFIGS
+ - EXACT
+ - HINTS
+ - MODULE
+ - NAMES
+ - NO_CMAKE_ENVIRONMENT_PATH
+ - NO_CMAKE_FIND_ROOT_PATH
+ - NO_CMAKE_PACKAGE_REGISTRY
+ - NO_CMAKE_PATH
+ - NO_CMAKE_SYSTEM_PACKAGE_REGISTRY
+ - NO_CMAKE_SYSTEM_PATH
+ - NO_DEFAULT_PATH
+ - NO_MODULE
+ - NO_PACAKGE_ROOT_PATH
+ - NO_POLICY_SCOPE
+ - NO_SYSTEM_ENVIRONMENT_PATH
+ - ONLY_CMAKE_FIND_ROOT_PATH
+ - OPTIONAL_COMPONENTS
+ - PATHS
+ - PATH_SUFFIXES
+ - QUIET
+ - REQUIRED
+
+
+ - CMAKE_FIND_ROOT_PATH_BOTH
+ - DOC
+ - HINTS
+ - NAMES
+ - NO_CMAKE_ENVIRONMENT_PATH
+ - NO_CMAKE_FIND_ROOT_PATH
+ - NO_CMAKE_PATH
+ - NO_CMAKE_SYSTEM_PATH
+ - NO_DEFAULT_PATH
+ - NO_PACKAGE_ROOT_PATH
+ - NO_SYSTEM_ENVIRONMENT_PATH
+ - ONLY_CMAKE_FIND_ROOT_PATH
+ - PATHS
+ - PATH_SUFFIXES
+
+
+ - CMAKE_FIND_ROOT_PATH_BOTH
+ - DOC
+ - HINTS
+ - NAMES
+ - NAMES_PER_DIR
+ - NO_CMAKE_ENVIRONMENT_PATH
+ - NO_CMAKE_FIND_ROOT_PATH
+ - NO_CMAKE_PATH
+ - NO_CMAKE_SYSTEM_PATH
+ - NO_DEFAULT_PATH
+ - NO_PACKAGE_ROOT_PATH
+ - NO_SYSTEM_ENVIRONMENT_PATH
+ - ONLY_CMAKE_FIND_ROOT_PATH
+ - PATHS
+ - PATH_SUFFIXES
+
+
+ - IN
+ - ITEMS
+ - LISTS
+ - RANGE
+
+
+ - DEFINITION
+ - DIRECTORY
+
+
+ - ABSOLUTE
+ - BASE_DIR
+ - CACHE
+ - DIRECTORY
+ - EXT
+ - NAME
+ - NAME_WE
+ - PATH
+ - PROGRAM
+ - PROGRAM_ARGS
+ - REALPATH
+
+
+ - BRIEF_DOCS
+ - CACHE
+ - DEFINED
+ - DIRECTORY
+ - FULL_DOCS
+ - GLOBAL
+ - INSTALL
+ - PROPERTY
+ - SET
+ - SOURCE
+ - TARGET
+ - TEST
+ - VARIABLE
+
+
+ - AND
+ - DEFINED
+ - EQUAL
+ - EXISTS
+ - GREATER
+ - GREATER_EQUAL
+ - IN_LIST
+ - IS_ABSOLUTE
+ - IS_DIRECTORY
+ - IS_NEWER_THAN
+ - IS_SYMLINK
+ - LESS
+ - LESS_EQUAL
+ - MATCHES
+ - NOT
+ - OR
+ - POLICY
+ - STREQUAL
+ - STRGREATER
+ - STRGREATER_EQUAL
+ - STRLESS
+ - STRLESS_EQUAL
+ - TARGET
+ - TEST
+ - VERSION_EQUAL
+ - VERSION_GREATER
+ - VERSION_GREATER_EQUAL
+ - VERSION_LESS
+ - VERSION_LESS_EQUAL
+
+
+ - NO_POLICY_SCOPE
+ - OPTIONAL
+ - RESULT_VARIABLE
+
+
+ - DIRECTORY
+ - GLOBAL
+
+
+ - APPEND
+ - AT
+ - EXCLUDE
+ - FILTER
+ - FIND
+ - FOR
+ - GENEX_STRIP
+ - GET
+ - INCLUDE
+ - INSERT
+ - JOIN
+ - LENGTH
+ - OUTPUT_VARIABLE
+ - PREPEND
+ - REGEX
+ - REMOVE_AT
+ - REMOVE_DUPLICATES
+ - REMOVE_ITEM
+ - REPLACE
+ - REVERSE
+ - SORT
+ - STRIP
+ - SUBLIST
+ - TOLOWER
+ - TRANSFORM
+
+
+ - CLEAR
+ - FORCE
+
+
+ - EXPR
+
+
+ - AUTHOR_WARNING
+ - DEPRECATION
+ - FATAL_ERROR
+ - SEND_ERROR
+ - STATUS
+ - WARNING
+
+
+ - NATIVE_COMMAND
+ - UNIX_COMMAND
+ - WINDOWS_COMMAND
+
+
+ - PROPERTIES
+
+
+ - APPEND
+ - APPEND_STRING
+ - CACHE
+ - DIRECTORY
+ - GLOBAL
+ - INSTALL
+ - PROPERTY
+ - SOURCE
+ - TARGET
+ - TEST
+ - VARIABLE
+
+
+ - CACHE
+ - FORCE
+ - PARENT_SCOPE
+
+
+ - BOOL
+ - FILEPATH
+ - INTERNAL
+ - PATH
+ - STATIC
+ - STRING
+
+
+ - @ONLY
+ - ALPHABET
+ - APPEND
+ - ASCII
+ - COMPARE
+ - CONCAT
+ - CONFIGURE
+ - EQUAL
+ - ESCAPE_QUOTES
+ - FIND
+ - GENEX_STRIP
+ - GREATER
+ - GREATER_EQUAL
+ - JOIN
+ - LENGTH
+ - LESS
+ - LESS_EQUAL
+ - MAKE_C_IDENTIFIER
+ - MATCH
+ - MATCHALL
+ - MD5
+ - NAME
+ - NAMESPACE
+ - NOTEQUAL
+ - PREPEND
+ - RANDOM
+ - RANDOM_SEED
+ - REGEX
+ - REPLACE
+ - REVERSE
+ - SHA1
+ - SHA224
+ - SHA256
+ - SHA384
+ - SHA3_224
+ - SHA3_256
+ - SHA3_384
+ - SHA3_512
+ - SHA512
+ - STRIP
+ - SUBSTRING
+ - TIMESTAMP
+ - TOLOWER
+ - TOUPPER
+ - TYPE
+ - UPPER
+ - UTC
+ - UUID
+
+
+ - CACHE
+ - PARENT_SCOPE
+
+
+ - AND
+ - DEFINED
+ - EQUAL
+ - EXISTS
+ - GREATER
+ - GREATER_EQUAL
+ - IN_LIST
+ - IS_ABSOLUTE
+ - IS_DIRECTORY
+ - IS_NEWER_THAN
+ - IS_SYMLINK
+ - LESS
+ - LESS_EQUAL
+ - MATCHES
+ - NOT
+ - OR
+ - POLICY
+ - STREQUAL
+ - STRGREATER
+ - STRGREATER_EQUAL
+ - STRLESS
+ - STRLESS_EQUAL
+ - TARGET
+ - TEST
+ - VERSION_EQUAL
+ - VERSION_GREATER
+ - VERSION_GREATER_EQUAL
+ - VERSION_LESS
+ - VERSION_LESS_EQUAL
+
+
+ - APPEND
+ - ARGS
+ - BYPRODUCTS
+ - COMMAND
+ - COMMAND_EXPAND_LISTS
+ - COMMENT
+ - DEPENDS
+ - DEPFILE
+ - IMPLICIT_DEPENDS
+ - MAIN_DEPENDENCY
+ - OUTPUT
+ - POST_BUILD
+ - PRE_BUILD
+ - PRE_LINK
+ - TARGET
+ - USES_TERMINAL
+ - VERBATIM
+ - WORKING_DIRECTORY
+
+
+ - ALL
+ - APPEND
+ - BYPRODUCTS
+ - COMMAND
+ - COMMAND_EXPAND_LISTS
+ - COMMENT
+ - DEPENDS
+ - DEPFILE
+ - IMPLICIT_DEPENDS
+ - SOURCES
+ - USES_TERMINAL
+ - VERBATIM
+ - WORKING_DIRECTORY
+
+
+ - ALIAS
+ - EXCLUDE_FROM_ALL
+ - GLOBAL
+ - IMPORTED
+ - MACOSX_BUNDLE
+ - WIN32
+
+
+ - ALIAS
+ - EXCLUDE_FROM_ALL
+ - GLOBAL
+ - IMPORTED
+ - INTERFACE
+ - MODULE
+ - OBJECT
+ - SHARED
+ - STATIC
+ - UNKNOWN
+
+
+ - EXCLUDE_FROM_ALL
+
+
+ - COMMAND
+ - CONFIGURATIONS
+ - NAME
+ - WORKING_DIRECTORY
+
+
+ - CONFIGURATION
+ - TARGET
+
+
+ - EXTRA_INCLUDE
+ - FUNCTION
+
+
+ - BRIEF_DOCS
+ - CACHED_VARIABLE
+ - DIRECTORY
+ - FULL_DOCS
+ - GLOBAL
+ - INHERITED
+ - PROPERTY
+ - SOURCE
+ - TEST
+ - VARIABLE
+
+
+ - OPTIONAL
+
+
+ - C
+ - CXX
+ - Fortran
+ - RC
+
+
+ - ANDROID_MK
+ - APPEND
+ - EXPORT
+ - EXPORT_LINK_INTERFACE_LIBRARIES
+ - FILE
+ - NAMESPACE
+ - TARGETS
+
+
+ - AFTER
+ - BEFORE
+ - SYSTEM
+
+
+ - GUID
+ - PLATFORM
+ - TYPE
+
+
+ - ARCHIVE
+ - BUNDLE
+ - CODE
+ - COMPONENT
+ - CONFIGURATIONS
+ - DESTINATION
+ - DIRECTORY
+ - DIRECTORY_PERMISSIONS
+ - EXCLUDE
+ - EXCLUDE_FROM_ALL
+ - EXPORT
+ - EXPORT_ANDROID_MK
+ - EXPORT_LINK_INTERFACE_LIBRARIES
+ - FILE
+ - FILES
+ - FILES_MATCHING
+ - FILE_PERMISSIONS
+ - FRAMEWORK
+ - INCLUDES
+ - LIBRARY
+ - MESSAGE_NEVER
+ - NAMELINK_COMPONENT
+ - NAMELINK_ONLY
+ - NAMELINK_SKIP
+ - NAMESPACE
+ - OBJECTS
+ - OPTIONAL
+ - PATTERN
+ - PERMISSIONS
+ - PRIVATE_HEADER
+ - PROGRAMS
+ - PUBLIC_HEADER
+ - REGEX
+ - RENAME
+ - RESOURCE
+ - RUNTIME
+ - SCRIPT
+ - TARGETS
+ - USE_SOURCE_PERMISSIONS
+
+
+ - GROUP_EXECUTE
+ - GROUP_READ
+ - GROUP_WRITE
+ - OWNER_EXECUTE
+ - OWNER_READ
+ - OWNER_WRITE
+ - SETGID
+ - SETUID
+ - WORLD_EXECUTE
+ - WORLD_READ
+ - WORLD_WRITE
+
+
+ - debug
+ - general
+ - optimized
+
+
+ - EXCLUDE
+ - INCLUDE_INTERNALS
+ - READ_WITH_PREFIX
+
+
+ - DESCRIPTION
+ - HOMEPAGE_URL
+ - LANGUAGES
+ - VERSION
+
+
+ - ASM
+ - C
+ - CUDA
+ - CXX
+ - Fortran
+ - NONE
+ - RC
+
+
+ - PROPERTIES
+
+
+ - PROPERTIES
+
+
+ - PROPERTIES
+
+
+ - FILES
+ - PREFIX
+ - REGULAR_EXPRESSION
+ - TREE
+
+
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+
+
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+
+
+ - c_function_prototypes
+ - c_restrict
+ - c_static_assert
+ - c_std_11
+ - c_std_90
+ - c_std_99
+ - c_variadic_macros
+ - cxx_aggregate_default_initializers
+ - cxx_alias_templates
+ - cxx_alignas
+ - cxx_alignof
+ - cxx_attribute_deprecated
+ - cxx_attributes
+ - cxx_auto_type
+ - cxx_binary_literals
+ - cxx_constexpr
+ - cxx_contextual_conversions
+ - cxx_decltype
+ - cxx_decltype_auto
+ - cxx_decltype_incomplete_return_types
+ - cxx_default_function_template_args
+ - cxx_defaulted_functions
+ - cxx_defaulted_move_initializers
+ - cxx_delegating_constructors
+ - cxx_deleted_functions
+ - cxx_digit_separators
+ - cxx_enum_forward_declarations
+ - cxx_explicit_conversions
+ - cxx_extended_friend_declarations
+ - cxx_extern_templates
+ - cxx_final
+ - cxx_func_identifier
+ - cxx_generalized_initializers
+ - cxx_generic_lambdas
+ - cxx_inheriting_constructors
+ - cxx_inline_namespaces
+ - cxx_lambda_init_captures
+ - cxx_lambdas
+ - cxx_local_type_template_args
+ - cxx_long_long_type
+ - cxx_noexcept
+ - cxx_nonstatic_member_init
+ - cxx_nullptr
+ - cxx_override
+ - cxx_range_for
+ - cxx_raw_string_literals
+ - cxx_reference_qualified_functions
+ - cxx_relaxed_constexpr
+ - cxx_return_type_deduction
+ - cxx_right_angle_brackets
+ - cxx_rvalue_references
+ - cxx_sizeof_member
+ - cxx_static_assert
+ - cxx_std_11
+ - cxx_std_14
+ - cxx_std_17
+ - cxx_std_20
+ - cxx_std_98
+ - cxx_strong_enums
+ - cxx_template_template_parameters
+ - cxx_thread_local
+ - cxx_trailing_return_types
+ - cxx_unicode_literals
+ - cxx_uniform_initialization
+ - cxx_unrestricted_unions
+ - cxx_user_literals
+ - cxx_variable_templates
+ - cxx_variadic_macros
+ - cxx_variadic_templates
+
+
+ - BEFORE
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+
+
+ - BEFORE
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+ - SYSTEM
+
+
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+
+
+ - INTERFACE
+ - PRIVATE
+ - PUBLIC
+
+
+ - CMAKE_FLAGS
+ - COMPILE_DEFINITIONS
+ - COPY_FILE
+ - COPY_FILE_ERROR
+ - LINK_LIBRARIES
+ - OUTPUT_VARIABLE
+ - RESULT_VAR
+ - SOURCES
+
+
+ - ARGS
+ - CMAKE_FLAGS
+ - COMPILE_DEFINITIONS
+ - COMPILE_OUTPUT_VARIABLE
+ - COMPILE_RESULT_VAR
+ - LINK_LIBRARIES
+ - OUTPUT_VARIABLE
+ - RUN_OUTPUT_VARIABLE
+ - RUN_RESULT_VAR
+
+
+ - APPEND
+ - BUILD
+ - CAPTURE_CMAKE_ERROR
+ - CONFIGURATION
+ - FLAGS
+ - NUMBER_ERRORS
+ - NUMBER_WARNINGS
+ - PROJECT_NAME
+ - RETURN_VALUE
+ - TARGET
+
+
+ - APPEND
+ - BUILD
+ - CAPTURE_CMAKE_ERROR
+ - OPTIONS
+ - QUIET
+ - RETURN_VALUE
+ - SOURCE
+
+
+ - APPEND
+ - BUILD
+ - CAPTURE_CMAKE_ERROR
+ - LABELS
+ - QUIET
+ - RETURN_VALUE
+
+
+ - APPEND
+ - BUILD
+ - DEFECT_COUNT
+ - END
+ - EXCLUDE
+ - EXCLUDE_FIXTURE
+ - EXCLUDE_FIXTURE_CLEANUP
+ - EXCLUDE_FIXTURE_SETUP
+ - EXCLUDE_LABEL
+ - INCLUDE
+ - INCLUDE_LABEL
+ - PARALLEL_LEVEL
+ - QUIET
+ - RETURN_VALUE
+ - SCHEDULE_RANDOM
+ - START
+ - STOP_TIME
+ - STRIDE
+ - TEST_LOAD
+
+
+ - NEW_PROCESS
+ - RETURN_VALUE
+
+
+ - APPEND
+ - QUIET
+ - TRACK
+
+
+ - CDASH_UPLOAD
+ - CDASH_UPLOAD_TYPE
+ - FILES
+ - HTTPHEADER
+ - PARTS
+ - QUIET
+ - RETRY_COUNT
+ - RETRY_DELAY
+ - RETURN_VALUE
+
+
+ - APPEND
+ - BUILD
+ - CAPTURE_CMAKE_ERROR
+ - END
+ - EXCLUDE
+ - EXCLUDE_FIXTURE
+ - EXCLUDE_FIXTURE_CLEANUP
+ - EXCLUDE_FIXTURE_SETUP
+ - EXCLUDE_LABEL
+ - INCLUDE
+ - INCLUDE_LABEL
+ - PARALLEL_LEVEL
+ - QUIET
+ - RETURN_VALUE
+ - SCHEDULE_RANDOM
+ - START
+ - STOP_TIME
+ - STRIDE
+ - TEST_LOAD
+
+
+ - QUIET
+ - RETURN_VALUE
+ - SOURCE
+
+
+ - CAPTURE_CMAKE_ERROR
+ - FILES
+ - QUIET
-
- - CONFIGURATION
- - ANGLE-R
- - COMMA
- - SEMICOLON
- - INSTALL_PREFIX
+
+ - ANDROID
+ - APPLE
+ - BORLAND
+ - BUILD_SHARED_LIBS
+ - BUILD_TESTING
+ - CMAKE_ABSOLUTE_DESTINATION_FILES
+ - CMAKE_ANDROID_ANT_ADDITIONAL_OPTIONS
+ - CMAKE_ANDROID_API
+ - CMAKE_ANDROID_API_MIN
+ - CMAKE_ANDROID_ARCH
+ - CMAKE_ANDROID_ARCH_ABI
+ - CMAKE_ANDROID_ARM_MODE
+ - CMAKE_ANDROID_ARM_NEON
+ - CMAKE_ANDROID_ASSETS_DIRECTORIES
+ - CMAKE_ANDROID_GUI
+ - CMAKE_ANDROID_JAR_DEPENDENCIES
+ - CMAKE_ANDROID_JAR_DIRECTORIES
+ - CMAKE_ANDROID_JAVA_SOURCE_DIR
+ - CMAKE_ANDROID_NATIVE_LIB_DEPENDENCIES
+ - CMAKE_ANDROID_NATIVE_LIB_DIRECTORIES
+ - CMAKE_ANDROID_NDK
+ - CMAKE_ANDROID_NDK_DEPRECATED_HEADERS
+ - CMAKE_ANDROID_NDK_TOOLCHAIN_HOST_TAG
+ - CMAKE_ANDROID_NDK_TOOLCHAIN_VERSION
+ - CMAKE_ANDROID_PROCESS_MAX
+ - CMAKE_ANDROID_PROGUARD
+ - CMAKE_ANDROID_PROGUARD_CONFIG_PATH
+ - CMAKE_ANDROID_SECURE_PROPS_PATH
+ - CMAKE_ANDROID_SKIP_ANT_STEP
+ - CMAKE_ANDROID_STANDALONE_TOOLCHAIN
+ - CMAKE_ANDROID_STL_TYPE
+ - CMAKE_ANSI_CXXFLAGS
+ - CMAKE_APPBUNDLE_PATH
+ - CMAKE_AR
+ - CMAKE_ARCHIVE_OUTPUT_DIRECTORY
+ - CMAKE_ARGC
+ - CMAKE_ARGV0
+ - CMAKE_AUTOMOC
+ - CMAKE_AUTOMOC_DEPEND_FILTERS
+ - CMAKE_AUTOMOC_MOC_OPTIONS
+ - CMAKE_AUTOMOC_RELAXED_MODE
+ - CMAKE_AUTORCC
+ - CMAKE_AUTORCC_OPTIONS
+ - CMAKE_AUTOUIC
+ - CMAKE_AUTOUIC_OPTIONS
+ - CMAKE_AUTOUIC_SEARCH_PATHS
+ - CMAKE_BACKWARDS_COMPATIBILITY
+ - CMAKE_BINARY_DIR
+ - CMAKE_BUILD_RPATH
+ - CMAKE_BUILD_TOOL
+ - CMAKE_BUILD_TYPE
+ - CMAKE_BUILD_WITH_INSTALL_NAME_DIR
+ - CMAKE_BUILD_WITH_INSTALL_RPATH
+ - CMAKE_CACHEFILE_DIR
+ - CMAKE_CACHE_MAJOR_VERSION
+ - CMAKE_CACHE_MINOR_VERSION
+ - CMAKE_CACHE_PATCH_VERSION
+ - CMAKE_CFG_INTDIR
+ - CMAKE_CL_64
+ - CMAKE_CODEBLOCKS_COMPILER_ID
+ - CMAKE_CODEBLOCKS_EXCLUDE_EXTERNAL_FILES
+ - CMAKE_CODELITE_USE_TARGETS
+ - CMAKE_COLOR_MAKEFILE
+ - CMAKE_COMMAND
+ - CMAKE_COMPILER_2005
+ - CMAKE_COMPILER_IS_GNUCC
+ - CMAKE_COMPILER_IS_GNUCXX
+ - CMAKE_COMPILER_IS_GNUG77
+ - CMAKE_COMPILE_PDB_OUTPUT_DIRECTORY
+ - CMAKE_CONFIGURATION_TYPES
+ - CMAKE_CROSSCOMPILING
+ - CMAKE_CROSSCOMPILING_EMULATOR
+ - CMAKE_CTEST_COMMAND
+ - CMAKE_CUDA_EXTENSIONS
+ - CMAKE_CUDA_SEPARABLE_COMPILATION
+ - CMAKE_CUDA_STANDARD
+ - CMAKE_CUDA_STANDARD_REQUIRED
+ - CMAKE_CUDA_TOOLKIT_INCLUDE_DIRECTORIES
+ - CMAKE_CURRENT_BINARY_DIR
+ - CMAKE_CURRENT_LIST_DIR
+ - CMAKE_CURRENT_LIST_FILE
+ - CMAKE_CURRENT_LIST_LINE
+ - CMAKE_CURRENT_SOURCE_DIR
+ - CMAKE_CXX_COMPILE_FEATURES
+ - CMAKE_CXX_EXTENSIONS
+ - CMAKE_CXX_STANDARD
+ - CMAKE_CXX_STANDARD_REQUIRED
+ - CMAKE_C_COMPILE_FEATURES
+ - CMAKE_C_EXTENSIONS
+ - CMAKE_C_STANDARD
+ - CMAKE_C_STANDARD_REQUIRED
+ - CMAKE_DEBUG_POSTFIX
+ - CMAKE_DEBUG_TARGET_PROPERTIES
+ - CMAKE_DEPENDS_IN_PROJECT_ONLY
+ - CMAKE_DIRECTORY_LABELS
+ - CMAKE_DL_LIBS
+ - CMAKE_DOTNET_TARGET_FRAMEWORK_VERSION
+ - CMAKE_ECLIPSE_GENERATE_LINKED_RESOURCES
+ - CMAKE_ECLIPSE_GENERATE_SOURCE_PROJECT
+ - CMAKE_ECLIPSE_MAKE_ARGUMENTS
+ - CMAKE_ECLIPSE_VERSION
+ - CMAKE_EDIT_COMMAND
+ - CMAKE_ENABLE_EXPORTS
+ - CMAKE_ERROR_DEPRECATED
+ - CMAKE_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION
+ - CMAKE_EXECUTABLE_SUFFIX
+ - CMAKE_EXE_LINKER_FLAGS
+ - CMAKE_EXE_LINKER_FLAGS_INIT
+ - CMAKE_EXPORT_COMPILE_COMMANDS
+ - CMAKE_EXPORT_NO_PACKAGE_REGISTRY
+ - CMAKE_EXTRA_GENERATOR
+ - CMAKE_EXTRA_INCLUDE_FILES
+ - CMAKE_EXTRA_SHARED_LIBRARY_SUFFIXES
+ - CMAKE_FIND_APPBUNDLE
+ - CMAKE_FIND_FRAMEWORK
+ - CMAKE_FIND_FRAMEWORK_EXTRA_LOCATIONS
+ - CMAKE_FIND_LIBRARY_CUSTOM_LIB_SUFFIX
+ - CMAKE_FIND_LIBRARY_PREFIXES
+ - CMAKE_FIND_LIBRARY_SUFFIXES
+ - CMAKE_FIND_NO_INSTALL_PREFIX
+ - CMAKE_FIND_PACKAGE_NAME
+ - CMAKE_FIND_PACKAGE_NO_PACKAGE_REGISTRY
+ - CMAKE_FIND_PACKAGE_NO_SYSTEM_PACKAGE_REGISTRY
+ - CMAKE_FIND_PACKAGE_SORT_DIRECTION
+ - CMAKE_FIND_PACKAGE_SORT_ORDER
+ - CMAKE_FIND_PACKAGE_WARN_NO_MODULE
+ - CMAKE_FIND_ROOT_PATH
+ - CMAKE_FIND_ROOT_PATH_MODE_INCLUDE
+ - CMAKE_FIND_ROOT_PATH_MODE_LIBRARY
+ - CMAKE_FIND_ROOT_PATH_MODE_PACKAGE
+ - CMAKE_FIND_ROOT_PATH_MODE_PROGRAM
+ - CMAKE_FOLDER
+ - CMAKE_FRAMEWORK_PATH
+ - CMAKE_Fortran_FORMAT
+ - CMAKE_Fortran_MODDIR_DEFAULT
+ - CMAKE_Fortran_MODDIR_FLAG
+ - CMAKE_Fortran_MODOUT_FLAG
+ - CMAKE_Fortran_MODULE_DIRECTORY
+ - CMAKE_GENERATOR
+ - CMAKE_GENERATOR_INSTANCE
+ - CMAKE_GENERATOR_PLATFORM
+ - CMAKE_GENERATOR_TOOLSET
+ - CMAKE_GNUtoMS
+ - CMAKE_HAS_ANSI_STRING_STREAM
+ - CMAKE_HOME_DIRECTORY
+ - CMAKE_HOST_APPLE
+ - CMAKE_HOST_SOLARIS
+ - CMAKE_HOST_SYSTEM
+ - CMAKE_HOST_SYSTEM_NAME
+ - CMAKE_HOST_SYSTEM_PROCESSOR
+ - CMAKE_HOST_SYSTEM_VERSION
+ - CMAKE_HOST_UNIX
+ - CMAKE_HOST_WIN32
+ - CMAKE_HP_PTHREADS_INIT
+ - CMAKE_IGNORE_PATH
+ - CMAKE_IMPORT_LIBRARY_PREFIX
+ - CMAKE_IMPORT_LIBRARY_SUFFIX
+ - CMAKE_INCLUDE_CURRENT_DIR
+ - CMAKE_INCLUDE_CURRENT_DIR_IN_INTERFACE
+ - CMAKE_INCLUDE_DIRECTORIES_BEFORE
+ - CMAKE_INCLUDE_DIRECTORIES_PROJECT_BEFORE
+ - CMAKE_INCLUDE_PATH
+ - CMAKE_INSTALL_BINDIR
+ - CMAKE_INSTALL_DATADIR
+ - CMAKE_INSTALL_DATAROOTDIR
+ - CMAKE_INSTALL_DEBUG_LIBRARIES
+ - CMAKE_INSTALL_DEBUG_LIBRARIES_ONLY
+ - CMAKE_INSTALL_DEFAULT_COMPONENT_NAME
+ - CMAKE_INSTALL_DEFAULT_DIRECTORY_PERMISSIONS
+ - CMAKE_INSTALL_DOCDIR
+ - CMAKE_INSTALL_FULL_BINDIR
+ - CMAKE_INSTALL_FULL_DATADIR
+ - CMAKE_INSTALL_FULL_DATAROOTDIR
+ - CMAKE_INSTALL_FULL_DOCDIR
+ - CMAKE_INSTALL_FULL_INCLUDEDIR
+ - CMAKE_INSTALL_FULL_INFODIR
+ - CMAKE_INSTALL_FULL_LIBDIR
+ - CMAKE_INSTALL_FULL_LIBEXECDIR
+ - CMAKE_INSTALL_FULL_LOCALEDIR
+ - CMAKE_INSTALL_FULL_LOCALSTATEDIR
+ - CMAKE_INSTALL_FULL_MANDIR
+ - CMAKE_INSTALL_FULL_OLDINCLUDEDIR
+ - CMAKE_INSTALL_FULL_RUNSTATEDIR
+ - CMAKE_INSTALL_FULL_SBINDIR
+ - CMAKE_INSTALL_FULL_SHAREDSTATEDIR
+ - CMAKE_INSTALL_FULL_SYSCONFDIR
+ - CMAKE_INSTALL_INCLUDEDIR
+ - CMAKE_INSTALL_INFODIR
+ - CMAKE_INSTALL_LIBDIR
+ - CMAKE_INSTALL_LIBEXECDIR
+ - CMAKE_INSTALL_LOCALEDIR
+ - CMAKE_INSTALL_LOCALSTATEDIR
+ - CMAKE_INSTALL_MANDIR
+ - CMAKE_INSTALL_MESSAGE
+ - CMAKE_INSTALL_MFC_LIBRARIES
+ - CMAKE_INSTALL_NAME_DIR
+ - CMAKE_INSTALL_OLDINCLUDEDIR
+ - CMAKE_INSTALL_OPENMP_LIBRARIES
+ - CMAKE_INSTALL_PREFIX
+ - CMAKE_INSTALL_PREFIX_INITIALIZED_TO_DEFAULT
+ - CMAKE_INSTALL_RPATH
+ - CMAKE_INSTALL_RPATH_USE_LINK_PATH
+ - CMAKE_INSTALL_RUNSTATEDIR
+ - CMAKE_INSTALL_SBINDIR
+ - CMAKE_INSTALL_SHAREDSTATEDIR
+ - CMAKE_INSTALL_SYSCONFDIR
+ - CMAKE_INSTALL_SYSTEM_RUNTIME_COMPONENT
+ - CMAKE_INSTALL_SYSTEM_RUNTIME_DESTINATION
+ - CMAKE_INSTALL_SYSTEM_RUNTIME_LIBS
+ - CMAKE_INSTALL_SYSTEM_RUNTIME_LIBS_NO_WARNINGS
+ - CMAKE_INSTALL_SYSTEM_RUNTIME_LIBS_SKIP
+ - CMAKE_INSTALL_UCRT_LIBRARIES
+ - CMAKE_INTERNAL_PLATFORM_ABI
+ - CMAKE_INTERPROCEDURAL_OPTIMIZATION
+ - CMAKE_IOS_INSTALL_COMBINED
+ - CMAKE_JOB_POOLS
+ - CMAKE_JOB_POOL_COMPILE
+ - CMAKE_JOB_POOL_LINK
+ - CMAKE_LIBRARY_ARCHITECTURE
+ - CMAKE_LIBRARY_ARCHITECTURE_REGEX
+ - CMAKE_LIBRARY_OUTPUT_DIRECTORY
+ - CMAKE_LIBRARY_PATH
+ - CMAKE_LIBRARY_PATH_FLAG
+ - CMAKE_LINK_DEF_FILE_FLAG
+ - CMAKE_LINK_DEPENDS_NO_SHARED
+ - CMAKE_LINK_INTERFACE_LIBRARIES
+ - CMAKE_LINK_LIBRARY_FILE_FLAG
+ - CMAKE_LINK_LIBRARY_FLAG
+ - CMAKE_LINK_LIBRARY_SUFFIX
+ - CMAKE_LINK_SEARCH_END_STATIC
+ - CMAKE_LINK_SEARCH_START_STATIC
+ - CMAKE_LINK_WHAT_YOU_USE
+ - CMAKE_MACOSX_BUNDLE
+ - CMAKE_MACOSX_RPATH
+ - CMAKE_MAJOR_VERSION
+ - CMAKE_MAKE_PROGRAM
+ - CMAKE_MATCH_COUNT
+ - CMAKE_MFC_FLAG
+ - CMAKE_MINIMUM_REQUIRED_VERSION
+ - CMAKE_MINOR_VERSION
+ - CMAKE_MODULE_LINKER_FLAGS
+ - CMAKE_MODULE_LINKER_FLAGS_INIT
+ - CMAKE_MODULE_PATH
+ - CMAKE_NETRC
+ - CMAKE_NETRC_FILE
+ - CMAKE_NINJA_OUTPUT_PATH_PREFIX
+ - CMAKE_NOT_USING_CONFIG_FLAGS
+ - CMAKE_NO_ANSI_FOR_SCOPE
+ - CMAKE_NO_ANSI_STREAM_HEADERS
+ - CMAKE_NO_ANSI_STRING_STREAM
+ - CMAKE_NO_BUILTIN_CHRPATH
+ - CMAKE_NO_STD_NAMESPACE
+ - CMAKE_NO_SYSTEM_FROM_IMPORTED
+ - CMAKE_OBJECT_PATH_MAX
+ - CMAKE_OSX_ARCHITECTURES
+ - CMAKE_OSX_DEPLOYMENT_TARGET
+ - CMAKE_OSX_SYSROOT
+ - CMAKE_PARENT_LIST_FILE
+ - CMAKE_PATCH_VERSION
+ - CMAKE_PDB_OUTPUT_DIRECTORY
+ - CMAKE_POSITION_INDEPENDENT_CODE
+ - CMAKE_PREFIX_PATH
+ - CMAKE_PROGRAM_PATH
+ - CMAKE_PROJECT_DESCRIPTION
+ - CMAKE_PROJECT_HOMEPAGE_URL
+ - CMAKE_PROJECT_NAME
+ - CMAKE_PROJECT_VERSION
+ - CMAKE_PROJECT_VERSION_MAJOR
+ - CMAKE_PROJECT_VERSION_MINOR
+ - CMAKE_PROJECT_VERSION_PATCH
+ - CMAKE_PROJECT_VERSION_TWEAK
+ - CMAKE_RANLIB
+ - CMAKE_REQUIRED_DEFINITIONS
+ - CMAKE_REQUIRED_FLAGS
+ - CMAKE_REQUIRED_INCLUDES
+ - CMAKE_REQUIRED_LIBRARIES
+ - CMAKE_REQUIRED_QUIET
+ - CMAKE_ROOT
+ - CMAKE_RUNTIME_OUTPUT_DIRECTORY
+ - CMAKE_SCRIPT_MODE_FILE
+ - CMAKE_SHARED_LIBRARY_PREFIX
+ - CMAKE_SHARED_LIBRARY_SUFFIX
+ - CMAKE_SHARED_LINKER_FLAGS
+ - CMAKE_SHARED_LINKER_FLAGS_INIT
+ - CMAKE_SHARED_MODULE_PREFIX
+ - CMAKE_SHARED_MODULE_SUFFIX
+ - CMAKE_SIZEOF_VOID_P
+ - CMAKE_SKIP_BUILD_RPATH
+ - CMAKE_SKIP_INSTALL_ALL_DEPENDENCY
+ - CMAKE_SKIP_INSTALL_RPATH
+ - CMAKE_SKIP_INSTALL_RULES
+ - CMAKE_SKIP_RPATH
+ - CMAKE_SOURCE_DIR
+ - CMAKE_STAGING_PREFIX
+ - CMAKE_STATIC_LIBRARY_PREFIX
+ - CMAKE_STATIC_LIBRARY_SUFFIX
+ - CMAKE_STATIC_LINKER_FLAGS
+ - CMAKE_STATIC_LINKER_FLAGS_INIT
+ - CMAKE_SUBLIME_TEXT_2_ENV_SETTINGS
+ - CMAKE_SUBLIME_TEXT_2_EXCLUDE_BUILD_TREE
+ - CMAKE_SUPPRESS_DEVELOPER_ERRORS
+ - CMAKE_SUPPRESS_DEVELOPER_WARNINGS
+ - CMAKE_SUPPRESS_REGENERATION
+ - CMAKE_SWIG_FLAGS
+ - CMAKE_SWIG_OUTDIR
+ - CMAKE_SYSROOT
+ - CMAKE_SYSROOT_COMPILE
+ - CMAKE_SYSROOT_LINK
+ - CMAKE_SYSTEM
+ - CMAKE_SYSTEM_APPBUNDLE_PATH
+ - CMAKE_SYSTEM_FRAMEWORK_PATH
+ - CMAKE_SYSTEM_IGNORE_PATH
+ - CMAKE_SYSTEM_INCLUDE_PATH
+ - CMAKE_SYSTEM_LIBRARY_PATH
+ - CMAKE_SYSTEM_NAME
+ - CMAKE_SYSTEM_PREFIX_PATH
+ - CMAKE_SYSTEM_PROCESSOR
+ - CMAKE_SYSTEM_PROGRAM_PATH
+ - CMAKE_SYSTEM_VERSION
+ - CMAKE_Swift_LANGUAGE_VERSION
+ - CMAKE_THREAD_LIBS_INIT
+ - CMAKE_THREAD_PREFER_PTHREAD
+ - CMAKE_TOOLCHAIN_FILE
+ - CMAKE_TRY_COMPILE_CONFIGURATION
+ - CMAKE_TRY_COMPILE_PLATFORM_VARIABLES
+ - CMAKE_TRY_COMPILE_TARGET_TYPE
+ - CMAKE_TWEAK_VERSION
+ - CMAKE_USER_MAKE_RULES_OVERRIDE
+ - CMAKE_USE_PTHREADS_INIT
+ - CMAKE_USE_RELATIVE_PATHS
+ - CMAKE_USE_SPROC_INIT
+ - CMAKE_USE_WIN32_THREADS_INIT
+ - CMAKE_VERBOSE_MAKEFILE
+ - CMAKE_VERSION
+ - CMAKE_VISIBILITY_INLINES_HIDDEN
+ - CMAKE_VS_DEVENV_COMMAND
+ - CMAKE_VS_INCLUDE_INSTALL_TO_DEFAULT_BUILD
+ - CMAKE_VS_INCLUDE_PACKAGE_TO_DEFAULT_BUILD
+ - CMAKE_VS_INTEL_Fortran_PROJECT_VERSION
+ - CMAKE_VS_MSBUILD_COMMAND
+ - CMAKE_VS_NsightTegra_VERSION
+ - CMAKE_VS_PLATFORM_NAME
+ - CMAKE_VS_PLATFORM_TOOLSET
+ - CMAKE_VS_PLATFORM_TOOLSET_CUDA
+ - CMAKE_VS_PLATFORM_TOOLSET_HOST_ARCHITECTURE
+ - CMAKE_VS_SDK_EXCLUDE_DIRECTORIES
+ - CMAKE_VS_SDK_EXECUTABLE_DIRECTORIES
+ - CMAKE_VS_SDK_INCLUDE_DIRECTORIES
+ - CMAKE_VS_SDK_LIBRARY_DIRECTORIES
+ - CMAKE_VS_SDK_LIBRARY_WINRT_DIRECTORIES
+ - CMAKE_VS_SDK_REFERENCE_DIRECTORIES
+ - CMAKE_VS_SDK_SOURCE_DIRECTORIES
+ - CMAKE_VS_WINDOWS_TARGET_PLATFORM_VERSION
+ - CMAKE_WARN_DEPRECATED
+ - CMAKE_WARN_ON_ABSOLUTE_INSTALL_DESTINATION
+ - CMAKE_WIN32_EXECUTABLE
+ - CMAKE_WINDOWS_EXPORT_ALL_SYMBOLS
+ - CMAKE_XCODE_GENERATE_SCHEME
+ - CMAKE_XCODE_PLATFORM_TOOLSET
+ - CPACK_ABSOLUTE_DESTINATION_FILES
+ - CPACK_ARCHIVE_COMPONENT_INSTALL
+ - CPACK_ARCHIVE_FILE_NAME
+ - CPACK_BUILD_SOURCE_DIRS
+ - CPACK_BUNDLE_APPLE_CERT_APP
+ - CPACK_BUNDLE_APPLE_CODESIGN_FILES
+ - CPACK_BUNDLE_APPLE_CODESIGN_PARAMETER
+ - CPACK_BUNDLE_APPLE_ENTITLEMENTS
+ - CPACK_BUNDLE_ICON
+ - CPACK_BUNDLE_NAME
+ - CPACK_BUNDLE_PLIST
+ - CPACK_BUNDLE_STARTUP_COMMAND
+ - CPACK_CMAKE_GENERATOR
+ - CPACK_COMMAND_CODESIGN
+ - CPACK_COMMAND_HDIUTIL
+ - CPACK_COMMAND_PKGBUILD
+ - CPACK_COMMAND_PRODUCTBUILD
+ - CPACK_COMMAND_REZ
+ - CPACK_COMMAND_SETFILE
+ - CPACK_COMPONENTS_ALL
+ - CPACK_COMPONENTS_GROUPING
+ - CPACK_COMPONENT_INCLUDE_TOPLEVEL_DIRECTORY
+ - CPACK_CREATE_DESKTOP_LINKS
+ - CPACK_CYGWIN_BUILD_SCRIPT
+ - CPACK_CYGWIN_PATCH_FILE
+ - CPACK_CYGWIN_PATCH_NUMBER
+ - CPACK_DEBIAN_ARCHIVE_TYPE
+ - CPACK_DEBIAN_COMPRESSION_TYPE
+ - CPACK_DEBIAN_ENABLE_COMPONENT_DEPENDS
+ - CPACK_DEBIAN_FILE_NAME
+ - CPACK_DEBIAN_PACKAGE_ARCHITECTURE
+ - CPACK_DEBIAN_PACKAGE_BREAKS
+ - CPACK_DEBIAN_PACKAGE_CONFLICTS
+ - CPACK_DEBIAN_PACKAGE_CONTROL_EXTRA
+ - CPACK_DEBIAN_PACKAGE_CONTROL_STRICT_PERMISSION
+ - CPACK_DEBIAN_PACKAGE_DEBUG
+ - CPACK_DEBIAN_PACKAGE_DEPENDS
+ - CPACK_DEBIAN_PACKAGE_DESCRIPTION
+ - CPACK_DEBIAN_PACKAGE_ENHANCES
+ - CPACK_DEBIAN_PACKAGE_EPOCH
+ - CPACK_DEBIAN_PACKAGE_GENERATE_SHLIBS
+ - CPACK_DEBIAN_PACKAGE_GENERATE_SHLIBS_POLICY
+ - CPACK_DEBIAN_PACKAGE_HOMEPAGE
+ - CPACK_DEBIAN_PACKAGE_MAINTAINER
+ - CPACK_DEBIAN_PACKAGE_NAME
+ - CPACK_DEBIAN_PACKAGE_PREDEPENDS
+ - CPACK_DEBIAN_PACKAGE_PRIORITY
+ - CPACK_DEBIAN_PACKAGE_PROVIDES
+ - CPACK_DEBIAN_PACKAGE_RECOMMENDS
+ - CPACK_DEBIAN_PACKAGE_RELEASE
+ - CPACK_DEBIAN_PACKAGE_REPLACES
+ - CPACK_DEBIAN_PACKAGE_SECTION
+ - CPACK_DEBIAN_PACKAGE_SHLIBDEPS
+ - CPACK_DEBIAN_PACKAGE_SOURCE
+ - CPACK_DEBIAN_PACKAGE_SUGGESTS
+ - CPACK_DEBIAN_PACKAGE_VERSION
+ - CPACK_DEB_COMPONENT_INSTALL
+ - CPACK_DMG_BACKGROUND_IMAGE
+ - CPACK_DMG_DISABLE_APPLICATIONS_SYMLINK
+ - CPACK_DMG_DS_STORE
+ - CPACK_DMG_DS_STORE_SETUP_SCRIPT
+ - CPACK_DMG_FORMAT
+ - CPACK_DMG_SLA_DIR
+ - CPACK_DMG_SLA_LANGUAGES
+ - CPACK_DMG_VOLUME_NAME
+ - CPACK_ERROR_ON_ABSOLUTE_INSTALL_DESTINATION
+ - CPACK_GENERATOR
+ - CPACK_IFW_ADMIN_TARGET_DIRECTORY
+ - CPACK_IFW_BINARYCREATOR_EXECUTABLE
+ - CPACK_IFW_DEVTOOL_EXECUTABLE
+ - CPACK_IFW_DOWNLOAD_ALL
+ - CPACK_IFW_FRAMEWORK_VERSION
+ - CPACK_IFW_INSTALLERBASE_EXECUTABLE
+ - CPACK_IFW_PACKAGES_DIRECTORIES
+ - CPACK_IFW_PACKAGE_ALLOW_NON_ASCII_CHARACTERS
+ - CPACK_IFW_PACKAGE_ALLOW_SPACE_IN_PATH
+ - CPACK_IFW_PACKAGE_BACKGROUND
+ - CPACK_IFW_PACKAGE_BANNER
+ - CPACK_IFW_PACKAGE_CONTROL_SCRIPT
+ - CPACK_IFW_PACKAGE_GROUP
+ - CPACK_IFW_PACKAGE_ICON
+ - CPACK_IFW_PACKAGE_LOGO
+ - CPACK_IFW_PACKAGE_MAINTENANCE_TOOL_INI_FILE
+ - CPACK_IFW_PACKAGE_MAINTENANCE_TOOL_NAME
+ - CPACK_IFW_PACKAGE_NAME
+ - CPACK_IFW_PACKAGE_PUBLISHER
+ - CPACK_IFW_PACKAGE_REMOVE_TARGET_DIR
+ - CPACK_IFW_PACKAGE_RESOURCES
+ - CPACK_IFW_PACKAGE_START_MENU_DIRECTORY
+ - CPACK_IFW_PACKAGE_TITLE
+ - CPACK_IFW_PACKAGE_TITLE_COLOR
+ - CPACK_IFW_PACKAGE_WATERMARK
+ - CPACK_IFW_PACKAGE_WINDOW_ICON
+ - CPACK_IFW_PACKAGE_WIZARD_DEFAULT_HEIGHT
+ - CPACK_IFW_PACKAGE_WIZARD_DEFAULT_WIDTH
+ - CPACK_IFW_PACKAGE_WIZARD_STYLE
+ - CPACK_IFW_PRODUCT_URL
+ - CPACK_IFW_REPOGEN_EXECUTABLE
+ - CPACK_IFW_REPOSITORIES_ALL
+ - CPACK_IFW_RESOLVE_DUPLICATE_NAMES
+ - CPACK_IFW_ROOT
+ - CPACK_IFW_TARGET_DIRECTORY
+ - CPACK_IFW_VERBOSE
+ - CPACK_INCLUDE_TOPLEVEL_DIRECTORY
+ - CPACK_INSTALLED_DIRECTORIES
+ - CPACK_INSTALL_CMAKE_PROJECTS
+ - CPACK_INSTALL_COMMANDS
+ - CPACK_INSTALL_DEFAULT_DIRECTORY_PERMISSIONS
+ - CPACK_INSTALL_SCRIPT
+ - CPACK_MONOLITHIC_INSTALL
+ - CPACK_NSIS_COMPRESSOR
+ - CPACK_NSIS_CONTACT
+ - CPACK_NSIS_CREATE_ICONS_EXTRA
+ - CPACK_NSIS_DELETE_ICONS_EXTRA
+ - CPACK_NSIS_DISPLAY_NAME
+ - CPACK_NSIS_ENABLE_UNINSTALL_BEFORE_INSTALL
+ - CPACK_NSIS_EXECUTABLES_DIRECTORY
+ - CPACK_NSIS_EXTRA_INSTALL_COMMANDS
+ - CPACK_NSIS_EXTRA_PREINSTALL_COMMANDS
+ - CPACK_NSIS_EXTRA_UNINSTALL_COMMANDS
+ - CPACK_NSIS_HELP_LINK
+ - CPACK_NSIS_INSTALLED_ICON_NAME
+ - CPACK_NSIS_INSTALLER_MUI_ICON_CODE
+ - CPACK_NSIS_INSTALL_ROOT
+ - CPACK_NSIS_MENU_LINKS
+ - CPACK_NSIS_MODIFY_PATH
+ - CPACK_NSIS_MUI_FINISHPAGE_RUN
+ - CPACK_NSIS_MUI_ICON
+ - CPACK_NSIS_MUI_UNIICON
+ - CPACK_NSIS_MUI_UNWELCOMEFINISHPAGE_BITMAP
+ - CPACK_NSIS_MUI_WELCOMEFINISHPAGE_BITMAP
+ - CPACK_NSIS_PACKAGE_NAME
+ - CPACK_NSIS_URL_INFO_ABOUT
+ - CPACK_NUGET_COMPONENT_INSTALL
+ - CPACK_NUGET_PACKAGE_AUTHORS
+ - CPACK_NUGET_PACKAGE_COPYRIGHT
+ - CPACK_NUGET_PACKAGE_DEBUG
+ - CPACK_NUGET_PACKAGE_DEPENDENCIES
+ - CPACK_NUGET_PACKAGE_DESCRIPTION
+ - CPACK_NUGET_PACKAGE_DESCRIPTION_SUMMARY
+ - CPACK_NUGET_PACKAGE_HOMEPAGE_URL
+ - CPACK_NUGET_PACKAGE_ICONURL
+ - CPACK_NUGET_PACKAGE_LICENSEURL
+ - CPACK_NUGET_PACKAGE_NAME
+ - CPACK_NUGET_PACKAGE_OWNERS
+ - CPACK_NUGET_PACKAGE_RELEASE_NOTES
+ - CPACK_NUGET_PACKAGE_TAGS
+ - CPACK_NUGET_PACKAGE_TITLE
+ - CPACK_NUGET_PACKAGE_VERSION
+ - CPACK_OSX_PACKAGE_VERSION
+ - CPACK_OUTPUT_CONFIG_FILE
+ - CPACK_PACKAGE_CHECKSUM
+ - CPACK_PACKAGE_CONTACT
+ - CPACK_PACKAGE_DESCRIPTION
+ - CPACK_PACKAGE_DESCRIPTION_FILE
+ - CPACK_PACKAGE_DESCRIPTION_SUMMARY
+ - CPACK_PACKAGE_DIRECTORY
+ - CPACK_PACKAGE_EXECUTABLES
+ - CPACK_PACKAGE_FILE_NAME
+ - CPACK_PACKAGE_HOMEPAGE_URL
+ - CPACK_PACKAGE_ICON
+ - CPACK_PACKAGE_INSTALL_DIRECTORY
+ - CPACK_PACKAGE_INSTALL_REGISTRY_KEY
+ - CPACK_PACKAGE_NAME
+ - CPACK_PACKAGE_VENDOR
+ - CPACK_PACKAGE_VERSION
+ - CPACK_PACKAGE_VERSION_MAJOR
+ - CPACK_PACKAGE_VERSION_MINOR
+ - CPACK_PACKAGE_VERSION_PATCH
+ - CPACK_PACKAGING_INSTALL_PREFIX
+ - CPACK_PKGBUILD_IDENTITY_NAME
+ - CPACK_PKGBUILD_KEYCHAIN_PATH
+ - CPACK_PRODUCTBUILD_IDENTITY_NAME
+ - CPACK_PRODUCTBUILD_KEYCHAIN_PATH
+ - CPACK_PRODUCTBUILD_RESOURCES_DIR
+ - CPACK_PROJECT_CONFIG_FILE
+ - CPACK_RESOURCE_FILE_LICENSE
+ - CPACK_RESOURCE_FILE_README
+ - CPACK_RESOURCE_FILE_WELCOME
+ - CPACK_RPM_ADDITIONAL_MAN_DIRS
+ - CPACK_RPM_BUILDREQUIRES
+ - CPACK_RPM_BUILD_SOURCE_DIRS_PREFIX
+ - CPACK_RPM_CHANGELOG_FILE
+ - CPACK_RPM_COMPONENT_INSTALL
+ - CPACK_RPM_COMPRESSION_TYPE
+ - CPACK_RPM_DEBUGINFO_EXCLUDE_DIRS
+ - CPACK_RPM_DEBUGINFO_EXCLUDE_DIRS_ADDITION
+ - CPACK_RPM_DEBUGINFO_FILE_NAME
+ - CPACK_RPM_DEBUGINFO_PACKAGE
+ - CPACK_RPM_DEBUGINFO_SINGLE_PACKAGE
+ - CPACK_RPM_DEFAULT_DIR_PERMISSIONS
+ - CPACK_RPM_DEFAULT_FILE_PERMISSIONS
+ - CPACK_RPM_DEFAULT_GROUP
+ - CPACK_RPM_DEFAULT_USER
+ - CPACK_RPM_EXCLUDE_FROM_AUTO_FILELIST
+ - CPACK_RPM_EXCLUDE_FROM_AUTO_FILELIST_ADDITION
+ - CPACK_RPM_FILE_NAME
+ - CPACK_RPM_GENERATE_USER_BINARY_SPECFILE_TEMPLATE
+ - CPACK_RPM_INSTALL_WITH_EXEC
+ - CPACK_RPM_MAIN_COMPONENT
+ - CPACK_RPM_NO_INSTALL_PREFIX_RELOCATION
+ - CPACK_RPM_PACKAGE_ARCHITECTURE
+ - CPACK_RPM_PACKAGE_AUTOPROV
+ - CPACK_RPM_PACKAGE_AUTOREQ
+ - CPACK_RPM_PACKAGE_AUTOREQPROV
+ - CPACK_RPM_PACKAGE_CONFLICTS
+ - CPACK_RPM_PACKAGE_DEBUG
+ - CPACK_RPM_PACKAGE_DESCRIPTION
+ - CPACK_RPM_PACKAGE_EPOCH
+ - CPACK_RPM_PACKAGE_GROUP
+ - CPACK_RPM_PACKAGE_LICENSE
+ - CPACK_RPM_PACKAGE_NAME
+ - CPACK_RPM_PACKAGE_OBSOLETES
+ - CPACK_RPM_PACKAGE_PROVIDES
+ - CPACK_RPM_PACKAGE_RELEASE
+ - CPACK_RPM_PACKAGE_RELEASE_DIST
+ - CPACK_RPM_PACKAGE_RELOCATABLE
+ - CPACK_RPM_PACKAGE_REQUIRES
+ - CPACK_RPM_PACKAGE_REQUIRES_POST
+ - CPACK_RPM_PACKAGE_REQUIRES_POSTUN
+ - CPACK_RPM_PACKAGE_REQUIRES_PRE
+ - CPACK_RPM_PACKAGE_REQUIRES_PREUN
+ - CPACK_RPM_PACKAGE_SOURCES
+ - CPACK_RPM_PACKAGE_SUGGESTS
+ - CPACK_RPM_PACKAGE_SUMMARY
+ - CPACK_RPM_PACKAGE_URL
+ - CPACK_RPM_PACKAGE_VENDOR
+ - CPACK_RPM_PACKAGE_VERSION
+ - CPACK_RPM_POST_INSTALL_SCRIPT_FILE
+ - CPACK_RPM_POST_UNINSTALL_SCRIPT_FILE
+ - CPACK_RPM_PRE_INSTALL_SCRIPT_FILE
+ - CPACK_RPM_PRE_UNINSTALL_SCRIPT_FILE
+ - CPACK_RPM_RELOCATION_PATHS
+ - CPACK_RPM_SOURCE_PKG_BUILD_PARAMS
+ - CPACK_RPM_SOURCE_PKG_PACKAGING_INSTALL_PREFIX
+ - CPACK_RPM_SPEC_INSTALL_POST
+ - CPACK_RPM_SPEC_MORE_DEFINE
+ - CPACK_RPM_USER_BINARY_SPECFILE
+ - CPACK_RPM_USER_FILELIST
+ - CPACK_SET_DESTDIR
+ - CPACK_SOURCE_GENERATOR
+ - CPACK_SOURCE_IGNORE_FILES
+ - CPACK_SOURCE_OUTPUT_CONFIG_FILE
+ - CPACK_SOURCE_PACKAGE_FILE_NAME
+ - CPACK_SOURCE_STRIP_FILES
+ - CPACK_STRIP_FILES
+ - CPACK_SYSTEM_NAME
+ - CPACK_TOPLEVEL_TAG
+ - CPACK_VERBATIM_VARIABLES
+ - CPACK_WARN_ON_ABSOLUTE_INSTALL_DESTINATION
+ - CPACK_WIX_CMAKE_PACKAGE_REGISTRY
+ - CPACK_WIX_CULTURES
+ - CPACK_WIX_EXTENSIONS
+ - CPACK_WIX_EXTRA_OBJECTS
+ - CPACK_WIX_EXTRA_SOURCES
+ - CPACK_WIX_LICENSE_RTF
+ - CPACK_WIX_PATCH_FILE
+ - CPACK_WIX_PRODUCT_GUID
+ - CPACK_WIX_PRODUCT_ICON
+ - CPACK_WIX_PROGRAM_MENU_FOLDER
+ - CPACK_WIX_ROOT
+ - CPACK_WIX_ROOT_FEATURE_DESCRIPTION
+ - CPACK_WIX_ROOT_FEATURE_TITLE
+ - CPACK_WIX_ROOT_FOLDER_ID
+ - CPACK_WIX_SKIP_PROGRAM_FOLDER
+ - CPACK_WIX_TEMPLATE
+ - CPACK_WIX_UI_BANNER
+ - CPACK_WIX_UI_DIALOG
+ - CPACK_WIX_UI_REF
+ - CPACK_WIX_UPGRADE_GUID
+ - CTEST_BINARY_DIRECTORY
+ - CTEST_BUILD_COMMAND
+ - CTEST_BUILD_NAME
+ - CTEST_BZR_COMMAND
+ - CTEST_BZR_UPDATE_OPTIONS
+ - CTEST_CHANGE_ID
+ - CTEST_CHECKOUT_COMMAND
+ - CTEST_CONFIGURATION_TYPE
+ - CTEST_CONFIGURE_COMMAND
+ - CTEST_COVERAGE_COMMAND
+ - CTEST_COVERAGE_EXTRA_FLAGS
+ - CTEST_CURL_OPTIONS
+ - CTEST_CUSTOM_COVERAGE_EXCLUDE
+ - CTEST_CUSTOM_ERROR_EXCEPTION
+ - CTEST_CUSTOM_ERROR_MATCH
+ - CTEST_CUSTOM_ERROR_POST_CONTEXT
+ - CTEST_CUSTOM_ERROR_PRE_CONTEXT
+ - CTEST_CUSTOM_MAXIMUM_FAILED_TEST_OUTPUT_SIZE
+ - CTEST_CUSTOM_MAXIMUM_NUMBER_OF_ERRORS
+ - CTEST_CUSTOM_MAXIMUM_NUMBER_OF_WARNINGS
+ - CTEST_CUSTOM_MAXIMUM_PASSED_TEST_OUTPUT_SIZE
+ - CTEST_CUSTOM_MEMCHECK_IGNORE
+ - CTEST_CUSTOM_POST_MEMCHECK
+ - CTEST_CUSTOM_POST_TEST
+ - CTEST_CUSTOM_PRE_MEMCHECK
+ - CTEST_CUSTOM_PRE_TEST
+ - CTEST_CUSTOM_TEST_IGNORE
+ - CTEST_CUSTOM_WARNING_EXCEPTION
+ - CTEST_CUSTOM_WARNING_MATCH
+ - CTEST_CVS_CHECKOUT
+ - CTEST_CVS_COMMAND
+ - CTEST_CVS_UPDATE_OPTIONS
+ - CTEST_DROP_LOCATION
+ - CTEST_DROP_METHOD
+ - CTEST_DROP_SITE
+ - CTEST_DROP_SITE_CDASH
+ - CTEST_DROP_SITE_PASSWORD
+ - CTEST_DROP_SITE_USER
+ - CTEST_EXTRA_COVERAGE_GLOB
+ - CTEST_GIT_COMMAND
+ - CTEST_GIT_INIT_SUBMODULES
+ - CTEST_GIT_UPDATE_CUSTOM
+ - CTEST_GIT_UPDATE_OPTIONS
+ - CTEST_HG_COMMAND
+ - CTEST_HG_UPDATE_OPTIONS
+ - CTEST_LABELS_FOR_SUBPROJECTS
+ - CTEST_MEMORYCHECK_COMMAND
+ - CTEST_MEMORYCHECK_COMMAND_OPTIONS
+ - CTEST_MEMORYCHECK_SANITIZER_OPTIONS
+ - CTEST_MEMORYCHECK_SUPPRESSIONS_FILE
+ - CTEST_MEMORYCHECK_TYPE
+ - CTEST_NIGHTLY_START_TIME
+ - CTEST_P4_CLIENT
+ - CTEST_P4_COMMAND
+ - CTEST_P4_OPTIONS
+ - CTEST_P4_UPDATE_OPTIONS
+ - CTEST_SCP_COMMAND
+ - CTEST_SITE
+ - CTEST_SOURCE_DIRECTORY
+ - CTEST_SVN_COMMAND
+ - CTEST_SVN_OPTIONS
+ - CTEST_SVN_UPDATE_OPTIONS
+ - CTEST_TEST_LOAD
+ - CTEST_TEST_TIMEOUT
+ - CTEST_TRIGGER_SITE
+ - CTEST_UPDATE_COMMAND
+ - CTEST_UPDATE_OPTIONS
+ - CTEST_UPDATE_VERSION_ONLY
+ - CTEST_USE_LAUNCHERS
+ - CYGWIN
+ - EXECUTABLE_OUTPUT_PATH
+ - ExternalData_BINARY_ROOT
+ - ExternalData_CUSTOM_ERROR
+ - ExternalData_CUSTOM_FILE
+ - ExternalData_CUSTOM_LOCATION
+ - ExternalData_LINK_CONTENT
+ - ExternalData_NO_SYMLINKS
+ - ExternalData_OBJECT_STORES
+ - ExternalData_SERIES_MATCH
+ - ExternalData_SERIES_PARSE
+ - ExternalData_SERIES_PARSE_NUMBER
+ - ExternalData_SERIES_PARSE_PREFIX
+ - ExternalData_SERIES_PARSE_SUFFIX
+ - ExternalData_SOURCE_ROOT
+ - ExternalData_TIMEOUT_ABSOLUTE
+ - ExternalData_TIMEOUT_INACTIVITY
+ - ExternalData_URL_TEMPLATES
+ - GHS-MULTI
+ - GRAPHVIZ_EXECUTABLES
+ - GRAPHVIZ_EXTERNAL_LIBS
+ - GRAPHVIZ_GENERATE_PER_TARGET
+ - GRAPHVIZ_GRAPH_HEADER
+ - GRAPHVIZ_GRAPH_NAME
+ - GRAPHVIZ_GRAPH_TYPE
+ - GRAPHVIZ_IGNORE_TARGETS
+ - GRAPHVIZ_MODULE_LIBS
+ - GRAPHVIZ_NODE_PREFIX
+ - GRAPHVIZ_SHARED_LIBS
+ - GRAPHVIZ_STATIC_LIBS
+ - LIBRARY_OUTPUT_PATH
+ - MINGW
+ - MSVC
+ - MSVC10
+ - MSVC11
+ - MSVC12
+ - MSVC14
+ - MSVC60
+ - MSVC70
+ - MSVC71
+ - MSVC80
+ - MSVC90
+ - MSVC_IDE
+ - MSVC_TOOLSET_VERSION
+ - MSVC_VERSION
+ - PACKAGE_FIND_NAME
+ - PACKAGE_FIND_VERSION
+ - PACKAGE_FIND_VERSION_COUNT
+ - PACKAGE_FIND_VERSION_MAJOR
+ - PACKAGE_FIND_VERSION_MINOR
+ - PACKAGE_FIND_VERSION_PATCH
+ - PACKAGE_FIND_VERSION_TWEAK
+ - PACKAGE_VERSION
+ - PACKAGE_VERSION_COMPATIBLE
+ - PACKAGE_VERSION_EXACT
+ - PACKAGE_VERSION_UNSUITABLE
+ - PKG_CONFIG_EXECUTABLE
+ - PKG_CONFIG_USE_CMAKE_PREFIX_PATH
+ - PKG_CONFIG_VERSION_STRING
+ - PROJECT_BINARY_DIR
+ - PROJECT_DESCRIPTION
+ - PROJECT_HOMEPAGE_URL
+ - PROJECT_NAME
+ - PROJECT_SOURCE_DIR
+ - PROJECT_VERSION
+ - PROJECT_VERSION_MAJOR
+ - PROJECT_VERSION_MINOR
+ - PROJECT_VERSION_PATCH
+ - PROJECT_VERSION_TWEAK
+ - QTIFWDIR
+ - THREADS_PREFER_PTHREAD_FLAG
+ - UNIX
+ - WIN32
+ - WINCE
+ - WINDOWS_PHONE
+ - WINDOWS_STORE
+ - XCODE
+ - XCODE_VERSION
+
+
+ - ALLOW_DUPLICATE_CUSTOM_TARGETS
+ - AUTOGEN_SOURCE_GROUP
+ - AUTOGEN_TARGETS_FOLDER
+ - AUTOMOC_SOURCE_GROUP
+ - AUTOMOC_TARGETS_FOLDER
+ - AUTORCC_SOURCE_GROUP
+ - CMAKE_CXX_KNOWN_FEATURES
+ - CMAKE_C_KNOWN_FEATURES
+ - DEBUG_CONFIGURATIONS
+ - DISABLED_FEATURES
+ - ECLIPSE_EXTRA_NATURES
+ - ENABLED_FEATURES
+ - ENABLED_LANGUAGES
+ - FIND_LIBRARY_USE_LIB32_PATHS
+ - FIND_LIBRARY_USE_LIB64_PATHS
+ - FIND_LIBRARY_USE_LIBX32_PATHS
+ - FIND_LIBRARY_USE_OPENBSD_VERSIONING
+ - FeatureSummary_DEFAULT_PKG_TYPE
+ - FeatureSummary_PKG_TYPES
+ - FeatureSummary_REQUIRED_PKG_TYPES
+ - GENERATOR_IS_MULTI_CONFIG
+ - GLOBAL_DEPENDS_DEBUG_MODE
+ - GLOBAL_DEPENDS_NO_CYCLES
+ - IN_TRY_COMPILE
+ - JOB_POOLS
+ - PACKAGES_FOUND
+ - PACKAGES_NOT_FOUND
+ - PREDEFINED_TARGETS_FOLDER
+ - REPORT_UNDEFINED_PROPERTIES
+ - RULE_LAUNCH_COMPILE
+ - RULE_LAUNCH_CUSTOM
+ - RULE_LAUNCH_LINK
+ - RULE_MESSAGES
+ - TARGET_ARCHIVES_MAY_BE_SHARED_LIBS
+ - TARGET_MESSAGES
+ - TARGET_SUPPORTS_SHARED_LIBS
+ - USE_FOLDERS
+ - XCODE_EMIT_EFFECTIVE_PLATFORM_NAME
+
+
+ - ADDITIONAL_MAKE_CLEAN_FILES
+ - BINARY_DIR
+ - BUILDSYSTEM_TARGETS
+ - CACHE_VARIABLES
+ - CLEAN_NO_CUSTOM
+ - CMAKE_CONFIGURE_DEPENDS
+ - COMPILE_DEFINITIONS
+ - COMPILE_OPTIONS
+ - DEFINITIONS
+ - EXCLUDE_FROM_ALL
+ - IMPLICIT_DEPENDS_INCLUDE_TRANSFORM
+ - INCLUDE_DIRECTORIES
+ - INCLUDE_REGULAR_EXPRESSION
+ - INTERPROCEDURAL_OPTIMIZATION
+ - LABELS
+ - LINK_DIRECTORIES
+ - LISTFILE_STACK
+ - MACROS
+ - PARENT_DIRECTORY
+ - RULE_LAUNCH_COMPILE
+ - RULE_LAUNCH_CUSTOM
+ - RULE_LAUNCH_LINK
+ - SOURCE_DIR
+ - SUBDIRECTORIES
+ - TESTS
+ - TEST_INCLUDE_FILE
+ - TEST_INCLUDE_FILES
+ - VARIABLES
+ - VS_STARTUP_PROJECT
+
+
+ - ALIASED_TARGET
+ - ANDROID_ANT_ADDITIONAL_OPTIONS
+ - ANDROID_API
+ - ANDROID_API_MIN
+ - ANDROID_ARCH
+ - ANDROID_ASSETS_DIRECTORIES
+ - ANDROID_GUI
+ - ANDROID_JAR_DEPENDENCIES
+ - ANDROID_JAR_DIRECTORIES
+ - ANDROID_JAVA_SOURCE_DIR
+ - ANDROID_NATIVE_LIB_DEPENDENCIES
+ - ANDROID_NATIVE_LIB_DIRECTORIES
+ - ANDROID_PROCESS_MAX
+ - ANDROID_PROGUARD
+ - ANDROID_PROGUARD_CONFIG_PATH
+ - ANDROID_SECURE_PROPS_PATH
+ - ANDROID_SKIP_ANT_STEP
+ - ANDROID_STL_TYPE
+ - ARCHIVE_OUTPUT_DIRECTORY
+ - ARCHIVE_OUTPUT_NAME
+ - AUTOGEN_BUILD_DIR
+ - AUTOGEN_TARGET_DEPENDS
+ - AUTOMOC
+ - AUTOMOC_DEPEND_FILTERS
+ - AUTOMOC_MOC_OPTIONS
+ - AUTORCC
+ - AUTORCC_OPTIONS
+ - AUTOUIC
+ - AUTOUIC_OPTIONS
+ - AUTOUIC_SEARCH_PATHS
+ - BINARY_DIR
+ - BUILD_RPATH
+ - BUILD_WITH_INSTALL_NAME_DIR
+ - BUILD_WITH_INSTALL_RPATH
+ - BUNDLE
+ - BUNDLE_EXTENSION
+ - COMMON_LANGUAGE_RUNTIME
+ - COMPATIBLE_INTERFACE_BOOL
+ - COMPATIBLE_INTERFACE_NUMBER_MAX
+ - COMPATIBLE_INTERFACE_NUMBER_MIN
+ - COMPATIBLE_INTERFACE_STRING
+ - COMPILE_DEFINITIONS
+ - COMPILE_FEATURES
+ - COMPILE_FLAGS
+ - COMPILE_OPTIONS
+ - COMPILE_PDB_NAME
+ - COMPILE_PDB_OUTPUT_DIRECTORY
+ - CROSSCOMPILING_EMULATOR
+ - CUDA_EXTENSIONS
+ - CUDA_PTX_COMPILATION
+ - CUDA_RESOLVE_DEVICE_SYMBOLS
+ - CUDA_SEPARABLE_COMPILATION
+ - CUDA_STANDARD
+ - CUDA_STANDARD_REQUIRED
+ - CXX_EXTENSIONS
+ - CXX_STANDARD
+ - CXX_STANDARD_REQUIRED
+ - C_EXTENSIONS
+ - C_STANDARD
+ - C_STANDARD_REQUIRED
+ - DEFINE_SYMBOL
+ - DEPLOYMENT_REMOTE_DIRECTORY
+ - DOTNET_TARGET_FRAMEWORK_VERSION
+ - ENABLE_EXPORTS
+ - EXCLUDE_FROM_ALL
+ - EXCLUDE_FROM_DEFAULT_BUILD
+ - EXPORT_NAME
+ - EXPORT_PROPERTIES
+ - EchoString
+ - FOLDER
+ - FRAMEWORK
+ - FRAMEWORK_VERSION
+ - Fortran_FORMAT
+ - Fortran_MODULE_DIRECTORY
+ - GENERATOR_FILE_NAME
+ - GNUtoMS
+ - HAS_CXX
+ - IMPLICIT_DEPENDS_INCLUDE_TRANSFORM
+ - IMPORTED
+ - IMPORTED_COMMON_LANGUAGE_RUNTIME
+ - IMPORTED_CONFIGURATIONS
+ - IMPORTED_GLOBAL
+ - IMPORTED_IMPLIB
+ - IMPORTED_LIBNAME
+ - IMPORTED_LINK_DEPENDENT_LIBRARIES
+ - IMPORTED_LINK_INTERFACE_LANGUAGES
+ - IMPORTED_LINK_INTERFACE_LIBRARIES
+ - IMPORTED_LINK_INTERFACE_MULTIPLICITY
+ - IMPORTED_LOCATION
+ - IMPORTED_NO_SONAME
+ - IMPORTED_OBJECTS
+ - IMPORTED_SONAME
+ - IMPORT_PREFIX
+ - IMPORT_SUFFIX
+ - INCLUDE_DIRECTORIES
+ - INSTALL_NAME_DIR
+ - INSTALL_RPATH
+ - INSTALL_RPATH_USE_LINK_PATH
+ - INTERFACE_AUTOUIC_OPTIONS
+ - INTERFACE_COMPILE_DEFINITIONS
+ - INTERFACE_COMPILE_FEATURES
+ - INTERFACE_COMPILE_OPTIONS
+ - INTERFACE_INCLUDE_DIRECTORIES
+ - INTERFACE_LINK_LIBRARIES
+ - INTERFACE_POSITION_INDEPENDENT_CODE
+ - INTERFACE_SOURCES
+ - INTERFACE_SYSTEM_INCLUDE_DIRECTORIES
+ - INTERPROCEDURAL_OPTIMIZATION
+ - IOS_INSTALL_COMBINED
+ - JOB_POOL_COMPILE
+ - JOB_POOL_LINK
+ - LABELS
+ - LIBRARY_OUTPUT_DIRECTORY
+ - LIBRARY_OUTPUT_NAME
+ - LINKER_LANGUAGE
+ - LINK_DEPENDS
+ - LINK_DEPENDS_NO_SHARED
+ - LINK_FLAGS
+ - LINK_INTERFACE_LIBRARIES
+ - LINK_INTERFACE_MULTIPLICITY
+ - LINK_LIBRARIES
+ - LINK_SEARCH_END_STATIC
+ - LINK_SEARCH_START_STATIC
+ - LINK_WHAT_YOU_USE
+ - LOCATION
+ - MACOSX_BUNDLE
+ - MACOSX_BUNDLE_INFO_PLIST
+ - MACOSX_FRAMEWORK_INFO_PLIST
+ - MACOSX_RPATH
+ - MANUALLY_ADDED_DEPENDENCIES
+ - NAME
+ - NO_SONAME
+ - NO_SYSTEM_FROM_IMPORTED
+ - OSX_ARCHITECTURES
+ - OUTPUT_NAME
+ - PDB_NAME
+ - PDB_OUTPUT_DIRECTORY
+ - POSITION_INDEPENDENT_CODE
+ - PREFIX
+ - PRIVATE_HEADER
+ - PROJECT_LABEL
+ - PUBLIC_HEADER
+ - RESOURCE
+ - RULE_LAUNCH_COMPILE
+ - RULE_LAUNCH_CUSTOM
+ - RULE_LAUNCH_LINK
+ - RUNTIME_OUTPUT_DIRECTORY
+ - RUNTIME_OUTPUT_NAME
+ - SKIP_BUILD_RPATH
+ - SOURCES
+ - SOURCE_DIR
+ - SOVERSION
+ - STATIC_LIBRARY_FLAGS
+ - SUFFIX
+ - TYPE
+ - VERSION
+ - VISIBILITY_INLINES_HIDDEN
+ - VS_CONFIGURATION_TYPE
+ - VS_DEBUGGER_COMMAND
+ - VS_DEBUGGER_WORKING_DIRECTORY
+ - VS_DESKTOP_EXTENSIONS_VERSION
+ - VS_DOTNET_REFERENCES
+ - VS_DOTNET_REFERENCES_COPY_LOCAL
+ - VS_DOTNET_TARGET_FRAMEWORK_VERSION
+ - VS_GLOBAL_KEYWORD
+ - VS_GLOBAL_PROJECT_TYPES
+ - VS_GLOBAL_ROOTNAMESPACE
+ - VS_IOT_EXTENSIONS_VERSION
+ - VS_IOT_STARTUP_TASK
+ - VS_KEYWORD
+ - VS_MOBILE_EXTENSIONS_VERSION
+ - VS_SCC_AUXPATH
+ - VS_SCC_LOCALPATH
+ - VS_SCC_PROJECTNAME
+ - VS_SCC_PROVIDER
+ - VS_SDK_REFERENCES
+ - VS_USER_PROPS
+ - VS_WINDOWS_TARGET_PLATFORM_MIN_VERSION
+ - VS_WINRT_COMPONENT
+ - VS_WINRT_EXTENSIONS
+ - VS_WINRT_REFERENCES
+ - WIN32_EXECUTABLE
+ - WINDOWS_EXPORT_ALL_SYMBOLS
+ - XCODE_EXPLICIT_FILE_TYPE
+ - XCODE_PRODUCT_TYPE
+ - XCTEST
+
+
+ - ABSTRACT
+ - AUTORCC_OPTIONS
+ - AUTOUIC_OPTIONS
+ - COMPILE_DEFINITIONS
+ - COMPILE_FLAGS
+ - COMPILE_OPTIONS
+ - EXTERNAL_OBJECT
+ - Fortran_FORMAT
+ - GENERATED
+ - HEADER_FILE_ONLY
+ - INCLUDE_DIRECTORIES
+ - KEEP_EXTENSION
+ - LABELS
+ - LANGUAGE
+ - LOCATION
+ - MACOSX_PACKAGE_LOCATION
+ - OBJECT_DEPENDS
+ - OBJECT_OUTPUTS
+ - SKIP_AUTOGEN
+ - SKIP_AUTOMOC
+ - SKIP_AUTORCC
+ - SKIP_AUTOUIC
+ - SYMBOLIC
+ - VS_COPY_TO_OUT_DIR
+ - VS_DEPLOYMENT_CONTENT
+ - VS_DEPLOYMENT_LOCATION
+ - VS_INCLUDE_IN_VSIX
+ - VS_RESOURCE_GENERATOR
+ - VS_SHADER_DISABLE_OPTIMIZATIONS
+ - VS_SHADER_ENABLE_DEBUG
+ - VS_SHADER_ENTRYPOINT
+ - VS_SHADER_FLAGS
+ - VS_SHADER_MODEL
+ - VS_SHADER_OBJECT_FILE_NAME
+ - VS_SHADER_OUTPUT_HEADER_FILE
+ - VS_SHADER_TYPE
+ - VS_SHADER_VARIABLE_NAME
+ - VS_TOOL_OVERRIDE
+ - VS_XAML_TYPE
+ - WRAP_EXCLUDE
+ - XCODE_EXPLICIT_FILE_TYPE
+ - XCODE_FILE_ATTRIBUTES
+ - XCODE_LAST_KNOWN_FILE_TYPE
+
+
+ - ATTACHED_FILES
+ - ATTACHED_FILES_ON_FAIL
+ - COST
+ - DEPENDS
+ - DISABLED
+ - ENVIRONMENT
+ - FAIL_REGULAR_EXPRESSION
+ - FIXTURES_CLEANUP
+ - FIXTURES_REQUIRED
+ - FIXTURES_SETUP
+ - LABELS
+ - MEASUREMENT
+ - PASS_REGULAR_EXPRESSION
+ - PROCESSORS
+ - PROCESSOR_AFFINITY
+ - REQUIRED_FILES
+ - RESOURCE_LOCK
+ - RUN_SERIAL
+ - SKIP_RETURN_CODE
+ - TIMEOUT
+ - TIMEOUT_AFTER_MATCH
+ - WILL_FAIL
+ - WORKING_DIRECTORY
+
+
+ - ADVANCED
+ - HELPSTRING
+ - MODIFIED
+ - STRINGS
+ - TYPE
+ - VALUE
+
+
+ - CPACK_DESKTOP_SHORTCUTS
+ - CPACK_NEVER_OVERWRITE
+ - CPACK_PERMANENT
+ - CPACK_STARTUP_SHORTCUTS
+ - CPACK_START_MENU_SHORTCUTS
+ - CPACK_WIX_ACL
-
-
- - 0
- - 1
- - BOOL
- - AND
- - OR
- - NOT
- - STREQUAL
- - EQUAL
- - CONFIG
- - PLATFORM_ID
- - C_COMPILER_ID
- - CXX_COMPILER_ID
- - VERSION_GREATER
- - VERSION_LESS
- - VERSION_EQUAL
- - C_COMPILER_VERSION
- - CXX_COMPILER_VERSION
- - TARGET_POLICY
- - COMPILER_FEATURES
-
- - CONFIGURATION
- - TARGET_FILE
- - TARGET_FILE_NAME
- - TARGET_FILE_DIR
- - TARGET_LINKER_FILE
- - TARGET_LINKER_FILE_NAME
- - TARGET_LINKER_FILE_DIR
- - TARGET_SONAME_FILE
- - TARGET_SONAME_FILE_NAME
- - TARGET_SONAME_FILE_DIR
- - TARGET_PDB_FILE
- - TARGET_PDB_FILE_NAME
- - TARGET_PDB_FILE_DIR
- - TARGET_PROPERTY
- - INSTALL_PREFIX
-
- - JOIN
- - ANGLE-R
- - COMMA
- - SEMICOLON
- - TARGET_NAME
- - LINK_ONLY
- - INSTALL_INTERFACE
- - BUILD_INTERFACE
- - LOWER_CASE
- - UPPER_CASE
- - MAKE_C_IDENTIFIER
- - TARGET_OBJECTS
-
- - TARGET_DIR
+
+ - 0
+ - 1
+ - AND
+ - ANGLE-R
+ - BOOL
+ - BUILD_INTERFACE
+ - COMMA
+ - COMPILE_FEATURES
+ - COMPILE_LANGUAGE
+ - CONFIG
+ - CXX_COMPILER_ID
+ - CXX_COMPILER_VERSION
+ - C_COMPILER_ID
+ - C_COMPILER_VERSION
+ - EQUAL
+ - GENEX_EVAL
+ - IF
+ - INSTALL_INTERFACE
+ - INSTALL_PREFIX
+ - IN_LIST
+ - JOIN
+ - LINK_ONLY
+ - LOWER_CASE
+ - MAKE_C_IDENTIFIER
+ - NOT
+ - OR
+ - PLATFORM_ID
+ - SEMICOLON
+ - SHELL_PATH
+ - STREQUAL
+ - TARGET_BUNDLE_CONTENT_DIR
+ - TARGET_BUNDLE_DIR
+ - TARGET_EXISTS
+ - TARGET_FILE
+ - TARGET_FILE_DIR
+ - TARGET_FILE_NAME
+ - TARGET_GENEX_EVAL
+ - TARGET_LINKER_FILE
+ - TARGET_LINKER_FILE_DIR
+ - TARGET_LINKER_FILE_NAME
+ - TARGET_NAME
+ - TARGET_NAME_IF_EXISTS
+ - TARGET_OBJECTS
+ - TARGET_PDB_FILE
+ - TARGET_PDB_FILE_DIR
+ - TARGET_PDB_FILE_NAME
+ - TARGET_POLICY
+ - TARGET_PROPERTY
+ - TARGET_SONAME_FILE
+ - TARGET_SONAME_FILE_DIR
+ - TARGET_SONAME_FILE_NAME
+ - UPPER_CASE
+ - VERSION_EQUAL
+ - VERSION_GREATER
+ - VERSION_GREATER_EQUAL
+ - VERSION_LESS
+ - VERSION_LESS_EQUAL
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
+
+
+
+
+
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
+
+
+
-
-
-
+
+
+
-
-
-
-
-
-
-
-
-
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
-
+
+
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
+
+
+
+
+
-
-
-
-
-
-
+
+
+
+
+
+
-
-
+
+
+
-
-
-
-
-
-
-
+
+
-
+
+
-
-
+
+
+
+
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
+
+
-
-
-
-
-
+
+
+
+
+
@@ -1299,3 +3706,6 @@
+
+
+
diff --git a/kate/data/coldfusion.xml b/kate/data/coldfusion.xml
index 7253ec63f..1875eeec2 100644
--- a/kate/data/coldfusion.xml
+++ b/kate/data/coldfusion.xml
@@ -1,510 +1,509 @@
-
+
- - if
- - else
- - for
- - in
- - while
- - do
- - continue
- - break
- - with
- - try
- - catch
- - switch
- - case
- - new
- - var
- - function
- - return
- - this
- - delete
- - true
- - false
- - void
- - throw
- - typeof
- - const
- - default
+ - if
+ - else
+ - for
+ - in
+ - while
+ - do
+ - continue
+ - break
+ - with
+ - try
+ - catch
+ - switch
+ - case
+ - new
+ - var
+ - function
+ - return
+ - this
+ - delete
+ - true
+ - false
+ - void
+ - throw
+ - typeof
+ - const
+ - default
- - Anchor
- - Applet
- - Area
- - Array
- - Boolean
- - Button
- - Checkbox
- - Date
- - Document
- - Event
- - FileUpload
- - Form
- - Frame
- - Function
- - Hidden
- - History
- - Image
- - Layer
- - Linke
- - Location
- - Math
- - Navigator
- - Number
- - Object
- - Option
- - Password
- - Radio
- - RegExp
- - Reset
- - Screen
- - Select
- - String
- - Submit
- - Text
- - Textarea
- - Window
+ - Anchor
+ - Applet
+ - Area
+ - Array
+ - Boolean
+ - Button
+ - Checkbox
+ - Date
+ - Document
+ - Event
+ - FileUpload
+ - Form
+ - Frame
+ - Function
+ - Hidden
+ - History
+ - Image
+ - Layer
+ - Linke
+ - Location
+ - Math
+ - Navigator
+ - Number
+ - Object
+ - Option
+ - Password
+ - Radio
+ - RegExp
+ - Reset
+ - Screen
+ - Select
+ - String
+ - Submit
+ - Text
+ - Textarea
+ - Window
- - abs
- - acos
- - alert
- - anchor
- - apply
- - asin
- - atan
- - atan2
- - back
- - blur
- - call
- - captureEvents
- - ceil
- - charAt
- - charCodeAt
- - clearInterval
- - clearTimeout
- - click
- - close
- - compile
- - concat
- - confirm
- - cos
- - disableExternalCapture
- - enableExternalCapture
- - eval
- - exec
- - exp
- - find
- - floor
- - focus
- - forward
- - fromCharCode
- - getDate
- - getDay
- - getFullYear
- - getHours
- - getMilliseconds
- - getMinutes
- - getMonth
- - getSeconds
- - getSelection
- - getTime
- - getTimezoneOffset
- - getUTCDate
- - getUTCDay
- - getUTCFullYear
- - getUTCHours
- - getUTCMilliseconds
- - getUTCMinutes
- - getUTCMonth
- - getUTCSeconds
- - go
- - handleEvent
- - home
- - indexOf
- - javaEnabled
- - join
- - lastIndexOf
- - link
- - load
- - log
- - match
- - max
- - min
- - moveAbove
- - moveBelow
- - moveBy
- - moveTo
- - moveToAbsolute
- - open
- - parse
- - plugins.refresh
- - pop
- - pow
- - preference
- - print
- - prompt
- - push
- - random
- - releaseEvents
- - reload
- - replace
- - reset
- - resizeBy
- - resizeTo
- - reverse
- - round
- - routeEvent
- - scrollBy
- - scrollTo
- - search
- - select
- - setDate
- - setFullYear
- - setHours
- - setInterval
- - setMilliseconds
- - setMinutes
- - setMonth
- - setSeconds
- - setTime
- - setTimeout
- - setUTCDate
- - setUTCFullYear
- - setUTCHours
- - setUTCMilliseconds
- - setUTCMinutes
- - setUTCMonth
- - setUTCSeconds
- - shift
- - sin
- - slice
- - sort
- - splice
- - split
- - sqrt
- - stop
- - String formatting
- - submit
- - substr
- - substring
- - taintEnabled
- - tan
- - test
- - toLocaleString
- - toLowerCase
- - toSource
- - toString
- - toUpperCase
- - toUTCString
- - unshift
- - unwatch
- - UTC
- - valueOf
- - watch
- - write
- - writeln
+ - abs
+ - acos
+ - alert
+ - anchor
+ - apply
+ - asin
+ - atan
+ - atan2
+ - back
+ - blur
+ - call
+ - captureEvents
+ - ceil
+ - charAt
+ - charCodeAt
+ - clearInterval
+ - clearTimeout
+ - click
+ - close
+ - compile
+ - concat
+ - confirm
+ - cos
+ - disableExternalCapture
+ - enableExternalCapture
+ - eval
+ - exec
+ - exp
+ - find
+ - floor
+ - focus
+ - forward
+ - fromCharCode
+ - getDate
+ - getDay
+ - getFullYear
+ - getHours
+ - getMilliseconds
+ - getMinutes
+ - getMonth
+ - getSeconds
+ - getSelection
+ - getTime
+ - getTimezoneOffset
+ - getUTCDate
+ - getUTCDay
+ - getUTCFullYear
+ - getUTCHours
+ - getUTCMilliseconds
+ - getUTCMinutes
+ - getUTCMonth
+ - getUTCSeconds
+ - go
+ - handleEvent
+ - home
+ - indexOf
+ - javaEnabled
+ - join
+ - lastIndexOf
+ - link
+ - load
+ - log
+ - match
+ - max
+ - min
+ - moveAbove
+ - moveBelow
+ - moveBy
+ - moveTo
+ - moveToAbsolute
+ - open
+ - parse
+ - plugins.refresh
+ - pop
+ - pow
+ - preference
+ - print
+ - prompt
+ - push
+ - random
+ - releaseEvents
+ - reload
+ - replace
+ - reset
+ - resizeBy
+ - resizeTo
+ - reverse
+ - round
+ - routeEvent
+ - scrollBy
+ - scrollTo
+ - search
+ - select
+ - setDate
+ - setFullYear
+ - setHours
+ - setInterval
+ - setMilliseconds
+ - setMinutes
+ - setMonth
+ - setSeconds
+ - setTime
+ - setTimeout
+ - setUTCDate
+ - setUTCFullYear
+ - setUTCHours
+ - setUTCMilliseconds
+ - setUTCMinutes
+ - setUTCMonth
+ - setUTCSeconds
+ - shift
+ - sin
+ - slice
+ - sort
+ - splice
+ - split
+ - sqrt
+ - stop
+ - submit
+ - substr
+ - substring
+ - taintEnabled
+ - tan
+ - test
+ - toLocaleString
+ - toLowerCase
+ - toSource
+ - toString
+ - toUpperCase
+ - toUTCString
+ - unshift
+ - unwatch
+ - UTC
+ - valueOf
+ - watch
+ - write
+ - writeln
- - break
- - case
- - catch
- - continue
- - default
- - do
- - else
- - for
- - function
- - if
- - in
- - return
- - switch
- - try
- - var
- - while
+ - break
+ - case
+ - catch
+ - continue
+ - default
+ - do
+ - else
+ - for
+ - function
+ - if
+ - in
+ - return
+ - switch
+ - try
+ - var
+ - while
- - Abs
- - ACos
- - ArrayAppend
- - ArrayAvg
- - ArrayClear
- - ArrayDeleteAt
- - ArrayInsertAt
- - ArrayIsEmpty
- - ArrayLen
- - ArrayMax
- - ArrayMin
- - ArrayNew
- - ArrayPrepend
- - ArrayResize
- - ArraySet
- - ArraySort
- - ArraySum
- - ArraySwap
- - ArrayToList
- - Asc
- - ASin
- - Atn
- - BitAnd
- - BitMaskClear
- - BitMaskRead
- - BitMaskSet
- - BitNot
- - BitOr
- - BitSHLN
- - BitSHRN
- - BitXor
- - Ceiling
- - Chr
- - CJustify
- - Compare
- - CompareNoCase
- - Cos
- - CreateDate
- - CreateDateTime
- - CreateObject
- - CreateODBCDate
- - CreateODBCDateTime
- - CreateODBCTime
- - CreateTime
- - CreateTimeSpan
- - CreateUUID
- - DateAdd
- - DateCompare
- - DateConvert
- - DateDiff
- - DateFormat
- - DatePart
- - Day
- - DayOfWeek
- - DayOfWeekAsString
- - DayOfYear
- - DaysInMonth
- - DaysInYear
- - DE
- - DecimalFormat
- - DecrementValue
- - Decrypt
- - DeleteClientVariable
- - DirectoryExists
- - DollarFormat
- - Duplicate
- - Encrypt
- - Evaluate
- - Exp
- - ExpandPath
- - FileExists
- - Find
- - FindNoCase
- - FindOneOf
- - FirstDayOfMonth
- - Fix
- - FormatBaseN
- - GetAuthUser
- - GetBaseTagData
- - GetBaseTagList
- - GetBaseTemplatePath
- - GetClientVariablesList
- - GetCurrentTemplatePath
- - GetDirectoryFromPath
- - GetException
- - GetFileFromPath
- - GetFunctionList
- - GetHttpRequestData
- - GetHttpTimeString
- - GetK2ServerDocCount
- - GetK2ServerDocCountLimit
- - GetLocale
- - GetMetaData
- - GetMetricData
- - GetPageContext
- - GetProfileSections
- - GetProfileString
- - GetServiceSettings
- - GetTempDirectory
- - GetTempFile
- - GetTemplatePath
- - GetTickCount
- - GetTimeZoneInfo
- - GetToken
- - Hash
- - Hour
- - HTMLCodeFormat
- - HTMLEditFormat
- - IIf
- - IncrementValue
- - InputBaseN
- - Insert
- - Int
- - IsArray
- - IsBinary
- - IsBoolean
- - IsCustomFunction
- - IsDate
- - IsDebugMode
- - IsDefined
- - IsK2ServerABroker
- - IsK2ServerDocCountExceeded
- - IsK2ServerOnline
- - IsLeapYear
- - IsNumeric
- - IsNumericDate
- - IsObject
- - IsQuery
- - IsSimpleValue
- - IsStruct
- - IsUserInRole
- - IsWDDX
- - IsXmlDoc
- - IsXmlElement
- - IsXmlRoot
- - JavaCast
- - JSStringFormat
- - LCase
- - Left
- - Len
- - ListAppend
- - ListChangeDelims
- - ListContains
- - ListContainsNoCase
- - ListDeleteAt
- - ListFind
- - ListFindNoCase
- - ListFirst
- - ListGetAt
- - ListInsertAt
- - ListLast
- - ListLen
- - ListPrepend
- - ListQualify
- - ListRest
- - ListSetAt
- - ListSort
- - ListToArray
- - ListValueCount
- - ListValueCountNoCase
- - LJustify
- - Log
- - Log10
- - LSCurrencyFormat
- - LSDateFormat
- - LSEuroCurrencyFormat
- - LSIsCurrency
- - LSIsDate
- - LSIsNumeric
- - LSNumberFormat
- - LSParseCurrency
- - LSParseDateTime
- - LSParseEuroCurrency
- - LSParseNumber
- - LSTimeFormat
- - LTrim
- - Max
- - Mid
- - Min
- - Minute
- - Month
- - MonthAsString
- - Now
- - NumberFormat
- - ParagraphFormat
- - ParameterExists
- - ParseDateTime
- - Pi
- - PreserveSingleQuotes
- - Quarter
- - QueryAddColumn
- - QueryAddRow
- - QueryNew
- - QuerySetCell
- - QuotedValueList
- - Rand
- - Randomize
- - RandRange
- - REFind
- - REFindNoCase
- - RemoveChars
- - RepeatString
- - Replace
- - ReplaceList
- - ReplaceNoCase
- - REReplace
- - REReplaceNoCase
- - Reverse
- - Right
- - RJustify
- - Round
- - RTrim
- - Second
- - SetEncoding
- - SetLocale
- - SetProfileString
- - SetVariable
- - Sgn
- - Sin
- - SpanExcluding
- - SpanIncluding
- - Sqr
- - StripCR
- - StructAppend
- - StructClear
- - StructCopy
- - StructCount
- - StructDelete
- - StructFind
- - StructFindKey
- - StructFindValue
- - StructGet
- - StructInsert
- - StructIsEmpty
- - StructKeyArray
- - StructKeyExists
- - StructKeyList
- - StructNew
- - StructSort
- - StructUpdate
- - Tan
- - TimeFormat
- - ToBase64
- - ToBinary
- - ToString
- - Trim
- - UCase
- - URLDecode
- - URLEncodedFormat
- - URLSessionFormat
- - Val
- - ValueList
- - Week
- - WriteOutput
- - XmlChildPos
- - XmlElemNew
- - XmlFormat
- - XmlNew
- - XmlParse
- - XmlSearch
- - XmlTransform
- - Year
- - YesNoFormat
+ - Abs
+ - ACos
+ - ArrayAppend
+ - ArrayAvg
+ - ArrayClear
+ - ArrayDeleteAt
+ - ArrayInsertAt
+ - ArrayIsEmpty
+ - ArrayLen
+ - ArrayMax
+ - ArrayMin
+ - ArrayNew
+ - ArrayPrepend
+ - ArrayResize
+ - ArraySet
+ - ArraySort
+ - ArraySum
+ - ArraySwap
+ - ArrayToList
+ - Asc
+ - ASin
+ - Atn
+ - BitAnd
+ - BitMaskClear
+ - BitMaskRead
+ - BitMaskSet
+ - BitNot
+ - BitOr
+ - BitSHLN
+ - BitSHRN
+ - BitXor
+ - Ceiling
+ - Chr
+ - CJustify
+ - Compare
+ - CompareNoCase
+ - Cos
+ - CreateDate
+ - CreateDateTime
+ - CreateObject
+ - CreateODBCDate
+ - CreateODBCDateTime
+ - CreateODBCTime
+ - CreateTime
+ - CreateTimeSpan
+ - CreateUUID
+ - DateAdd
+ - DateCompare
+ - DateConvert
+ - DateDiff
+ - DateFormat
+ - DatePart
+ - Day
+ - DayOfWeek
+ - DayOfWeekAsString
+ - DayOfYear
+ - DaysInMonth
+ - DaysInYear
+ - DE
+ - DecimalFormat
+ - DecrementValue
+ - Decrypt
+ - DeleteClientVariable
+ - DirectoryExists
+ - DollarFormat
+ - Duplicate
+ - Encrypt
+ - Evaluate
+ - Exp
+ - ExpandPath
+ - FileExists
+ - Find
+ - FindNoCase
+ - FindOneOf
+ - FirstDayOfMonth
+ - Fix
+ - FormatBaseN
+ - GetAuthUser
+ - GetBaseTagData
+ - GetBaseTagList
+ - GetBaseTemplatePath
+ - GetClientVariablesList
+ - GetCurrentTemplatePath
+ - GetDirectoryFromPath
+ - GetException
+ - GetFileFromPath
+ - GetFunctionList
+ - GetHttpRequestData
+ - GetHttpTimeString
+ - GetK2ServerDocCount
+ - GetK2ServerDocCountLimit
+ - GetLocale
+ - GetMetaData
+ - GetMetricData
+ - GetPageContext
+ - GetProfileSections
+ - GetProfileString
+ - GetServiceSettings
+ - GetTempDirectory
+ - GetTempFile
+ - GetTemplatePath
+ - GetTickCount
+ - GetTimeZoneInfo
+ - GetToken
+ - Hash
+ - Hour
+ - HTMLCodeFormat
+ - HTMLEditFormat
+ - IIf
+ - IncrementValue
+ - InputBaseN
+ - Insert
+ - Int
+ - IsArray
+ - IsBinary
+ - IsBoolean
+ - IsCustomFunction
+ - IsDate
+ - IsDebugMode
+ - IsDefined
+ - IsK2ServerABroker
+ - IsK2ServerDocCountExceeded
+ - IsK2ServerOnline
+ - IsLeapYear
+ - IsNumeric
+ - IsNumericDate
+ - IsObject
+ - IsQuery
+ - IsSimpleValue
+ - IsStruct
+ - IsUserInRole
+ - IsWDDX
+ - IsXmlDoc
+ - IsXmlElement
+ - IsXmlRoot
+ - JavaCast
+ - JSStringFormat
+ - LCase
+ - Left
+ - Len
+ - ListAppend
+ - ListChangeDelims
+ - ListContains
+ - ListContainsNoCase
+ - ListDeleteAt
+ - ListFind
+ - ListFindNoCase
+ - ListFirst
+ - ListGetAt
+ - ListInsertAt
+ - ListLast
+ - ListLen
+ - ListPrepend
+ - ListQualify
+ - ListRest
+ - ListSetAt
+ - ListSort
+ - ListToArray
+ - ListValueCount
+ - ListValueCountNoCase
+ - LJustify
+ - Log
+ - Log10
+ - LSCurrencyFormat
+ - LSDateFormat
+ - LSEuroCurrencyFormat
+ - LSIsCurrency
+ - LSIsDate
+ - LSIsNumeric
+ - LSNumberFormat
+ - LSParseCurrency
+ - LSParseDateTime
+ - LSParseEuroCurrency
+ - LSParseNumber
+ - LSTimeFormat
+ - LTrim
+ - Max
+ - Mid
+ - Min
+ - Minute
+ - Month
+ - MonthAsString
+ - Now
+ - NumberFormat
+ - ParagraphFormat
+ - ParameterExists
+ - ParseDateTime
+ - Pi
+ - PreserveSingleQuotes
+ - Quarter
+ - QueryAddColumn
+ - QueryAddRow
+ - QueryNew
+ - QuerySetCell
+ - QuotedValueList
+ - Rand
+ - Randomize
+ - RandRange
+ - REFind
+ - REFindNoCase
+ - RemoveChars
+ - RepeatString
+ - Replace
+ - ReplaceList
+ - ReplaceNoCase
+ - REReplace
+ - REReplaceNoCase
+ - Reverse
+ - Right
+ - RJustify
+ - Round
+ - RTrim
+ - Second
+ - SetEncoding
+ - SetLocale
+ - SetProfileString
+ - SetVariable
+ - Sgn
+ - Sin
+ - SpanExcluding
+ - SpanIncluding
+ - Sqr
+ - StripCR
+ - StructAppend
+ - StructClear
+ - StructCopy
+ - StructCount
+ - StructDelete
+ - StructFind
+ - StructFindKey
+ - StructFindValue
+ - StructGet
+ - StructInsert
+ - StructIsEmpty
+ - StructKeyArray
+ - StructKeyExists
+ - StructKeyList
+ - StructNew
+ - StructSort
+ - StructUpdate
+ - Tan
+ - TimeFormat
+ - ToBase64
+ - ToBinary
+ - ToString
+ - Trim
+ - UCase
+ - URLDecode
+ - URLEncodedFormat
+ - URLSessionFormat
+ - Val
+ - ValueList
+ - Week
+ - WriteOutput
+ - XmlChildPos
+ - XmlElemNew
+ - XmlFormat
+ - XmlNew
+ - XmlParse
+ - XmlSearch
+ - XmlTransform
+ - Year
+ - YesNoFormat
diff --git a/kate/data/component-pascal.xml b/kate/data/component-pascal.xml
index 1aadebe56..5017e06a1 100644
--- a/kate/data/component-pascal.xml
+++ b/kate/data/component-pascal.xml
@@ -13,87 +13,87 @@
- - BEGIN
- - BY
- - CASE
- - CLOSE
- - CONST
- - DO
- - ELSE
- - ELSIF
- - END
- - FOR
- - IF
- - IMPORT
- - LOOP
- - MODULE
- - NEW
- - OF
- - OUT
- - PROCEDURE
- - REPEAT
- - THEN
- - TO
- - TYPE
- - UNTIL
- - VAR
- - WHILE
- - WITH
+ - BEGIN
+ - BY
+ - CASE
+ - CLOSE
+ - CONST
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - FOR
+ - IF
+ - IMPORT
+ - LOOP
+ - MODULE
+ - NEW
+ - OF
+ - OUT
+ - PROCEDURE
+ - REPEAT
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WITH
- - ASSERT
- - EXIT
- - HALT
- - RETURN
+ - ASSERT
+ - EXIT
+ - HALT
+ - RETURN
- - ANYPTR
- - ANYREC
- - ARRAY
- - BOOLEAN
- - SHORTCHAR
- - CHAR
- - BYTE
- - SHORTINT
- - INTEGER
- - LONGINT
- - POINTER
- - RECORD
- - SHORTREAL
- - REAL
- - SET
+ - ANYPTR
+ - ANYREC
+ - ARRAY
+ - BOOLEAN
+ - SHORTCHAR
+ - CHAR
+ - BYTE
+ - SHORTINT
+ - INTEGER
+ - LONGINT
+ - POINTER
+ - RECORD
+ - SHORTREAL
+ - REAL
+ - SET
- - ABSTRACT
- - EMPTY
- - EXTENSIBLE
- - LIMITED
+ - ABSTRACT
+ - EMPTY
+ - EXTENSIBLE
+ - LIMITED
- - ABS
- - ASH
- - BITS
- - CAP
- - CHR
- - DEC
- - ENTIER
- - EXCL
- - INC
- - INCL
- - LEN
- - LONG
- - MAX
- - MIN
- - ODD
- - ORD
- - SHORT
- - SIZE
+ - ABS
+ - ASH
+ - BITS
+ - CAP
+ - CHR
+ - DEC
+ - ENTIER
+ - EXCL
+ - INC
+ - INCL
+ - LEN
+ - LONG
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - SHORT
+ - SIZE
- - FALSE
- - INF
- - NIL
- - TRUE
+ - FALSE
+ - INF
+ - NIL
+ - TRUE
diff --git a/kate/data/debianchangelog.xml b/kate/data/debianchangelog.xml
index 85c1e9131..5de29003e 100644
--- a/kate/data/debianchangelog.xml
+++ b/kate/data/debianchangelog.xml
@@ -1,6 +1,6 @@
-
+
- urgency
@@ -51,6 +51,9 @@
- bullseye
- bullseye-backports
- bullseye-backports-sloppy
+ - bookworm
+ - bookworm-backports
+ - bookworm-backports-sloppy
- dapper
- dapper-security
@@ -169,6 +172,26 @@
- yakkety-proposed
- yakkety-updates
- yakkety-backports
+ - zesty
+ - zesty-security
+ - zesty-proposed
+ - zesty-updates
+ - zesty-backports
+ - artful
+ - artful-security
+ - artful-proposed
+ - artful-updates
+ - artful-backports
+ - bionic
+ - bionic-security
+ - bionic-proposed
+ - bionic-updates
+ - bionic-backports
+ - cosmic
+ - cosmic-security
+ - cosmic-proposed
+ - cosmic-updates
+ - cosmic-backports
diff --git a/kate/data/euphoria.xml b/kate/data/euphoria.xml
index d6ef787ac..46c5223ee 100644
--- a/kate/data/euphoria.xml
+++ b/kate/data/euphoria.xml
@@ -29,289 +29,289 @@
-
+
- - abort
- - allocate
- - allocate_string
- - allow_break
- - and
- - and_bits
- - append
- - arccos
- - arcsin
- - arctan
- - atom_to_float32
- - atom_to_float64
- - as
- - begin
- - bits_to_int
- - bytes_to_int
- - c_func
- - c_proc
- - call
- - call_back
- - call_func
- - call_proc
- - check_break
- - chdir
- - clear_screen
- - close
- - command_line
- - compare
- - cos
- - crash_file
- - crash_message
- - current_dir
- - custom_sort
- - date
- - define_c_func
- - define_c_proc
- - define_c_var
- - dir
- - display_text_image
- - do
- - else
- - elsif
- - end
- - equal
- - exit
- - find
- - float32_to_atom
- - float64_to_atom
- - floor
- - flush
- - for
- - free
- - free_console
- - function
- - get_bytes
- - get_key
- - get_mouse
- - get_position
- - get_screen_char
- - getc
- - getenv
- - gets
- - if
- - include
- - int_to_bits
- - int_to_bytes
- - length
- - lock_file
- - log
- - lower
- - machine_func
- - machine_proc
- - match
- - mem_copy
- - mem_set
- - mouse_events
- - mouse_pointer
- - not
- - not_bits
- - of
- - open
- - open_dll
- - or
- - or_bits
- - peek
- - peek4
- - peek4s
- - peek4u
- - platform
- - poke
- - poke4
- - position
- - power
- - prepend
- - print
- - printf
- - procedure
- - profile
- - prompt_number
- - prompt_string
- - put_screen_char
- - puts
- - rand
- - read_bitmap
- - register_block
- - remainder
- - repeat
- - return
- - reverse
- - routine_id
- - save_bitmap
- - save_text_image
- - scroll
- - seek
- - set_rand
- - sin
- - sleep
- - sort
- - sprint
- - sprintf
- - sqrt
- - system
- - system_exec
- - tan
- - text_color
- - then
- - time
- - to
- - trace
- - type
- - unlock_file
- - unregister_block
- - upper
- - value
- - video_config
- - wait_key
- - walk_dir
- - where
- - while
- - wildcard_file
- - wildcard_match
- - with
- - without
- - wrap
- - xor
- - xor_bits
- - ?
+ - abort
+ - allocate
+ - allocate_string
+ - allow_break
+ - and
+ - and_bits
+ - append
+ - arccos
+ - arcsin
+ - arctan
+ - atom_to_float32
+ - atom_to_float64
+ - as
+ - begin
+ - bits_to_int
+ - bytes_to_int
+ - c_func
+ - c_proc
+ - call
+ - call_back
+ - call_func
+ - call_proc
+ - check_break
+ - chdir
+ - clear_screen
+ - close
+ - command_line
+ - compare
+ - cos
+ - crash_file
+ - crash_message
+ - current_dir
+ - custom_sort
+ - date
+ - define_c_func
+ - define_c_proc
+ - define_c_var
+ - dir
+ - display_text_image
+ - do
+ - else
+ - elsif
+ - end
+ - equal
+ - exit
+ - find
+ - float32_to_atom
+ - float64_to_atom
+ - floor
+ - flush
+ - for
+ - free
+ - free_console
+ - function
+ - get_bytes
+ - get_key
+ - get_mouse
+ - get_position
+ - get_screen_char
+ - getc
+ - getenv
+ - gets
+ - if
+ - include
+ - int_to_bits
+ - int_to_bytes
+ - length
+ - lock_file
+ - log
+ - lower
+ - machine_func
+ - machine_proc
+ - match
+ - mem_copy
+ - mem_set
+ - mouse_events
+ - mouse_pointer
+ - not
+ - not_bits
+ - of
+ - open
+ - open_dll
+ - or
+ - or_bits
+ - peek
+ - peek4
+ - peek4s
+ - peek4u
+ - platform
+ - poke
+ - poke4
+ - position
+ - power
+ - prepend
+ - print
+ - printf
+ - procedure
+ - profile
+ - prompt_number
+ - prompt_string
+ - put_screen_char
+ - puts
+ - rand
+ - read_bitmap
+ - register_block
+ - remainder
+ - repeat
+ - return
+ - reverse
+ - routine_id
+ - save_bitmap
+ - save_text_image
+ - scroll
+ - seek
+ - set_rand
+ - sin
+ - sleep
+ - sort
+ - sprint
+ - sprintf
+ - sqrt
+ - system
+ - system_exec
+ - tan
+ - text_color
+ - then
+ - time
+ - to
+ - trace
+ - type
+ - unlock_file
+ - unregister_block
+ - upper
+ - value
+ - video_config
+ - wait_key
+ - walk_dir
+ - where
+ - while
+ - wildcard_file
+ - wildcard_match
+ - with
+ - without
+ - wrap
+ - xor
+ - xor_bits
+ - ?
- - atom
- - constant
- - global
- - integer
- - object
- - sequence
- - type
+ - atom
+ - constant
+ - global
+ - integer
+ - object
+ - sequence
+ - type
- - PI
- - GET_SUCCESS
+ - PI
+ - GET_SUCCESS
- - addto
- - adjustment
- - alignment
- - appendto
- - append_page
- - arrow
- - aspect_frame
- - button
- - calendar
- - cell_renderer_text
- - cell_renderer_toggle
- - check
- - checkbutton
- - check_menu_item
- - choice
- - combo
- - connect
- - drawingarea
- - draw_arc
- - draw_image
- - draw_line
- - draw_polygon
- - draw_point
- - draw_rectangle
- - end_submenu
- - entry
- - euget
- - event_box
- - flatten
- - font
- - frame
- - get
- - getImage
- - getSize
- - g_list
- - g_list_to_sequence
- - deallocate_strings
- - draw_line
- - hbox
- - hbuttonbox
- - hpaned
- - hscrollbar
- - hseparator
- - hscale
- - idle_add
- - image
- - image_menu_item
- - init
- - label
- - limit
- - list_store
- - list_view
- - list_view_column
- - main
- - mark_day
- - menu
- - menubar
- - menu_item
- - mouse_button
- - new_gc
- - new_group
- - new_menu_group
- - notebook
- - option
- - option_menu
- - pack
- - path
- - pop
- - progress_bar
- - push
- - quit
- - radio
- - radiobutton
- - radio_menu_item
- - rc_parse
- - run
- - separator_menu_item
- - set
- - set_submenu
- - str
- - scrolled_window
- - seq_to_str
- - setfg
- - setProperty
- - show
- - spinbutton
- - statusbar
- - table
- - textbox
- - timer
- - togglebutton
- - toolbar
- - tooltip
- - tree_store
- - tree_view
- - tree_view_column
- - vbox
- - vbuttonbox
- - vpaned
- - vscale
- - vscrollbar
- - vseparator
- - when
- - window
- - NULL
- - TRUE
- - FALSE
- - color_selection
- - file_selection
- - font_selection_dialog
- - Error
- - Info
- - Question
- - Warn
- - YesNo
+ - addto
+ - adjustment
+ - alignment
+ - appendto
+ - append_page
+ - arrow
+ - aspect_frame
+ - button
+ - calendar
+ - cell_renderer_text
+ - cell_renderer_toggle
+ - check
+ - checkbutton
+ - check_menu_item
+ - choice
+ - combo
+ - connect
+ - drawingarea
+ - draw_arc
+ - draw_image
+ - draw_line
+ - draw_polygon
+ - draw_point
+ - draw_rectangle
+ - end_submenu
+ - entry
+ - euget
+ - event_box
+ - flatten
+ - font
+ - frame
+ - get
+ - getImage
+ - getSize
+ - g_list
+ - g_list_to_sequence
+ - deallocate_strings
+ - draw_line
+ - hbox
+ - hbuttonbox
+ - hpaned
+ - hscrollbar
+ - hseparator
+ - hscale
+ - idle_add
+ - image
+ - image_menu_item
+ - init
+ - label
+ - limit
+ - list_store
+ - list_view
+ - list_view_column
+ - main
+ - mark_day
+ - menu
+ - menubar
+ - menu_item
+ - mouse_button
+ - new_gc
+ - new_group
+ - new_menu_group
+ - notebook
+ - option
+ - option_menu
+ - pack
+ - path
+ - pop
+ - progress_bar
+ - push
+ - quit
+ - radio
+ - radiobutton
+ - radio_menu_item
+ - rc_parse
+ - run
+ - separator_menu_item
+ - set
+ - set_submenu
+ - str
+ - scrolled_window
+ - seq_to_str
+ - setfg
+ - setProperty
+ - show
+ - spinbutton
+ - statusbar
+ - table
+ - textbox
+ - timer
+ - togglebutton
+ - toolbar
+ - tooltip
+ - tree_store
+ - tree_view
+ - tree_view_column
+ - vbox
+ - vbuttonbox
+ - vpaned
+ - vscale
+ - vscrollbar
+ - vseparator
+ - when
+ - window
+ - NULL
+ - TRUE
+ - FALSE
+ - color_selection
+ - file_selection
+ - font_selection_dialog
+ - Error
+ - Info
+ - Question
+ - Warn
+ - YesNo
diff --git a/kate/data/gdl.xml b/kate/data/gdl.xml
index 32f73b5e5..8966f5ba3 100644
--- a/kate/data/gdl.xml
+++ b/kate/data/gdl.xml
@@ -1,128 +1,128 @@
-
+
- - white
- - blue
- - red
- - green
- - yellow
- - magenta
- - cyan
- - darkgrey
- - darkgray
- - darkblue
- - darkred
- - darkgreen
- - darkyellow
- - darkmagenta
- - darkcyan
- - gold
- - lightgrey
- - lightgray
- - lightblue
- - lightred
- - lightgreen
- - lightyellow
- - lightmagenta
- - lightcyan
- - lilac
- - turquoise
- - aquamarine
- - khaki
- - purple
- - yellowgreen
- - pink
- - orange
- - orchid
- - black
+ - white
+ - blue
+ - red
+ - green
+ - yellow
+ - magenta
+ - cyan
+ - darkgrey
+ - darkgray
+ - darkblue
+ - darkred
+ - darkgreen
+ - darkyellow
+ - darkmagenta
+ - darkcyan
+ - gold
+ - lightgrey
+ - lightgray
+ - lightblue
+ - lightred
+ - lightgreen
+ - lightyellow
+ - lightmagenta
+ - lightcyan
+ - lilac
+ - turquoise
+ - aquamarine
+ - khaki
+ - purple
+ - yellowgreen
+ - pink
+ - orange
+ - orchid
+ - black
- - box
- - triangle
- - circle
- - ellipse
- - hexagon
- - rhomb
- - rhomboid
- - trapeze
- - uptrapeze
- - trapezoid
- - uptrapezoid
- - lparallelogram
- - rparallelogram
+ - box
+ - triangle
+ - circle
+ - ellipse
+ - hexagon
+ - rhomb
+ - rhomboid
+ - trapeze
+ - uptrapeze
+ - trapezoid
+ - uptrapezoid
+ - lparallelogram
+ - rparallelogram
- - unfolded
- - folded
- - boxed
- - clustered
- - wrapped
- - exclusive
- - white
+ - unfolded
+ - folded
+ - boxed
+ - clustered
+ - wrapped
+ - exclusive
+ - white
- - normal
- - tree
- - forcedir
- - dfs
- - minbackward
- - maxdepth
- - maxdepthslow
- - mindepth
- - mindepthslow
- - minindegree
- - minoutdegree
- - maxindegree
- - maxoutdegree
- - maxdegree
- - mindegree
+ - normal
+ - tree
+ - forcedir
+ - dfs
+ - minbackward
+ - maxdepth
+ - maxdepthslow
+ - mindepth
+ - mindepthslow
+ - minindegree
+ - minoutdegree
+ - maxindegree
+ - maxoutdegree
+ - maxdegree
+ - mindegree
- - attraction
- - repulsion
- - randomfactor
- - randomimpulse
- - randomrounds
- - tempscheme
- - temptreshold
- - tempmin
- - tempmax
+ - attraction
+ - repulsion
+ - randomfactor
+ - randomimpulse
+ - randomrounds
+ - tempscheme
+ - temptreshold
+ - tempmin
+ - tempmax
- - no
- - polar
- - circular
- - polcircular
- - orthogonal
+ - no
+ - polar
+ - circular
+ - polcircular
+ - orthogonal
- - toptobottom
- - bottomtotop
- - lefttoright
- - righttoleft
- - top_to_bottom
- - bottom_to_top
- - left_to_right
- - right_to_left
+ - toptobottom
+ - bottomtotop
+ - lefttoright
+ - righttoleft
+ - top_to_bottom
+ - bottom_to_top
+ - left_to_right
+ - right_to_left
- - solid
- - continuous
- - dashed
- - dotted
- - double
- - triple
- - invisible
+ - solid
+ - continuous
+ - dashed
+ - dotted
+ - double
+ - triple
+ - invisible
- - pfish
- - cfish
- - fpfish
- - fcfish
- - dpfish
- - dcfish
+ - pfish
+ - cfish
+ - fpfish
+ - fcfish
+ - dpfish
+ - dcfish
diff --git a/kate/data/git-ignore.xml b/kate/data/git-ignore.xml
index 8183a78c7..ceb25e46a 100644
--- a/kate/data/git-ignore.xml
+++ b/kate/data/git-ignore.xml
@@ -1,6 +1,6 @@
-
+
@@ -23,6 +23,7 @@
+
diff --git a/kate/data/hamlet.xml b/kate/data/hamlet.xml
index f413739d1..9d5b61bc9 100644
--- a/kate/data/hamlet.xml
+++ b/kate/data/hamlet.xml
@@ -5,7 +5,7 @@
word character *or* a number, hence the lookahead -->
]>
-
+
@@ -54,7 +54,7 @@
-
+
diff --git a/kate/data/haxe.xml b/kate/data/haxe.xml
index e51b9f457..3c8cd0d41 100644
--- a/kate/data/haxe.xml
+++ b/kate/data/haxe.xml
@@ -12,81 +12,81 @@
========================================================================
-->
-
+
- - break
+ - break
- - case
- - cast
- - catch
- - class
- - continue
+ - case
+ - cast
+ - catch
+ - class
+ - continue
- - default
+ - default
- - else
- - enum
- - extends
+ - else
+ - enum
+ - extends
- - false
- - for
- - function
+ - false
+ - for
+ - function
- - if
- - implements
- - in
- - inline
- - interface
+ - if
+ - implements
+ - in
+ - inline
+ - interface
- - new
- - null
+ - new
+ - null
- - override
+ - override
- - private
- - public
+ - private
+ - public
- - return
+ - return
- - static
- - super
- - switch
+ - static
+ - super
+ - switch
- - this
- - throw
- - trace
- - true
- - try
- - typedef
+ - this
+ - throw
+ - trace
+ - true
+ - try
+ - typedef
- - untyped
+ - untyped
- - var
+ - var
- - while
+ - while
- - package
- - import
+ - package
+ - import
- - Array
- - Void
- - Bool
- - Int
- - UInt
- - Float
- - Dynamic
- - String
- - List
- - Error
- - Unknown
- - Type
+ - Array
+ - Void
+ - Bool
+ - Int
+ - UInt
+ - Float
+ - Dynamic
+ - String
+ - List
+ - Error
+ - Unknown
+ - Type
@@ -100,7 +100,7 @@
-
+
diff --git a/kate/data/hunspell-aff.xml b/kate/data/hunspell-aff.xml
index bf1c14218..843d50518 100644
--- a/kate/data/hunspell-aff.xml
+++ b/kate/data/hunspell-aff.xml
@@ -1,6 +1,9 @@
-
+
+
@@ -193,7 +196,7 @@
-
+
diff --git a/kate/data/idconsole.xml b/kate/data/idconsole.xml
index 4e4f1faf6..ccba30ee4 100644
--- a/kate/data/idconsole.xml
+++ b/kate/data/idconsole.xml
@@ -4,2065 +4,2065 @@
-- ForceCloseComman
-- _config_com_baud
-- _config_com_modem
-- _vid_default_mode
-- _vid_default_mode_win
-- _vid_wait_override
-- _windowed_mouse
-- addip
-- addressbook
-- adjust_crosshair
-- advancedupdate
-- allow_download
-- allow_download_maps
-- allow_download_models
-- allow_download_skins
-- allow_download_sounds
-- allskins
-- appenddemo
-- autosave
-- ban
-- banClient
-- banUser
-- banid
-- baseskin
-- begin
-- bf
-- bgetmod
-- bindlist
-- block_switch
-- bottomcolor
-- buyNow
-- buyequip
-- cache_endgather
-- cache_flush
-- cache_mapchange
-- cache_print
-- cache_profile
-- cache_setindex
-- cache_startgather
-- cache_usedfile
-- cancelselect
-- cd
-- centerview
-- changeVectors
-- changelevel
-- changelevel2
-- changing
-- chase_active
-- cinematic
-- cl_deadbodyfilter
-- cl_gibfilter
-- cl_hightrack
-- cl_hudswap
-- cl_messages
-- cl_nodelta
-- cl_nolerp
-- cl_nopred
-- cl_predict_players
-- cl_rate
-- cl_sbar
-- cl_sbar_separator
-- cl_shownet
-- cl_sidespeed
-- cl_solid_players
-- cl_warncmd
-- cl_writecfg
-- clear
-- clearplayers
-- clientinfo
-- clientkick
-- cmd
-- cmdline
-- cmdlist
-- color
-- commands
-- condebug
-- condump
-- configstrings
-- confirm_quit
-- connect
-- contimes
-- coop
-- crash
-- credits
-- cropimages
-- crosshair
-- cvar_restart
-- cvarlist
-- d_mipcap
-- d_subdiv16
-- deathmatch
-- delta_clear
-- delta_stats
-- demo
-- demolist
-- demomap
-- demos
-- developer
-- devmap
-- dir
-- disconnect
-- dlfile
-- dmoptions
-- download
-- drawradar
-- drop
-- dropclient
-- dumpuser
-- edict
-- edictcount
-- edicts
-- endmovie
-- entities
-- envmap
-- error
-- escape
-- exec
-- exit
-- fastsprites
-- fdir
-- filterban
-- firstperson
-- floodprot
-- floodprotmsg
-- flush
-- fly
-- force_centerview
-- fov
-- fraglogfile
-- freelook
-- freeze
-- front
-- fs_openedList
-- fs_referencedList
-- fullinfo
-- fullserverinfo
-- game
-- gameCompleteStatus
-- gamedir
-- gamemap
-- gameversion
-- getcertificate
-- gfxinfo
-- gg
-- gib
-- gibload
-- gibstats
-- give
-- gl_affinemodels
-- gl_clear
-- gl_colorlights
-- gl_constretch
-- gl_cull
-- gl_dlight_lightmap
-- gl_dlight_polyblend
-- gl_dlight_smooth
-- gl_fb_bmodels
-- gl_fb_models
-- gl_finish
-- gl_fires
-- gl_flashblend
-- gl_keeptjunctions
-- gl_lerp_anim
-- gl_lightmode
-- gl_max_size
-- gl_multitexture
-- gl_nobind
-- gl_nocolors
-- gl_picmip
-- gl_playermip
-- gl_polyblend
-- gl_reportjunctions
-- gl_sky_clip
-- gl_skymultipass
-- gl_smoothmodels
-- gl_texsort
-- gl_texturemode
-- gl_triplebuffer
-- gl_ztrick
-- globalservers
-- god
-- gun
-- gun_model
-- gun_next
-- gun_prev
-- gunsmoke
-- heartbeat
-- help
-- hideconsole
-- hideradar
-- host_speeds
-- hostname
-- hpkextract
-- hpklist
-- hpkremove
-- hpkval
-- hud_centerid
-- imagelist
-- impulse
-- imt
-- in_bind
-- in_paste_buffer
-- in_restart
-- in_unbind
-- info
-- interp
-- invdrop
-- inven
-- invnext
-- invnextp
-- invnextw
-- invprev
-- invprevp
-- invprevw
-- invuse
-- joinserver
-- joy
-- joy_advancedupdate
-- joy_enable
-- joyadvanced
-- joyadvancedupdat
-- joyadvancedupdate
-- joyname
-- joystick
-- keys
-- kick
-- kill
-- killserver
-- lefthand
-- link
-- list
-- listdemo
-- listen
-- listid
-- listip
-- listmaps
-- load
-- loadas8bit
-- loadgame
-- loading
-- loadsky
-- loadtranslations
-- loc
-- localinfo
-- localservers
-- log
-- logaddress
-- logfile
-- lookspring
-- lookstrafe
-- m_filter
-- main
-- map
-- map_restart
-- maplist
-- maps
-- maxplayers
-- max_smokepuffs
-- max_shells
-- mcache
-- meminfo
-- menu
-- menu_addressbook
-- menu_credits
-- menu_help
-- menu_keys
-- menu_load
-- menu_loadgame
-- menu_main
-- menu_multiplayer
-- menu_options
-- menu_playerconfig
-- menu_quit
-- menu_save
-- menu_savegame
-- menu_setup
-- menu_select
-- menu_singleplayer
-- menu_startserver
-- menu_video
-- menu_dmoptions
-- menu_game
-- menu_joinserver
-- messagemode
-- messagemode2
-- messagemode3
-- messagemode4
-- model
-- modelist
-- modellist
-- msg
-- multiplayer
-- music
-- name
-- net_stats
-- new
-- next
-- nextul
-- nightvision
-- no_pogo_stick
-- noaim
-- noclip
-- noexit
-- nomonsters
-- noskins
-- nosound
-- notarget
-- options
-- packet
-- password
-- path
-- pausable
-- pause
-- paused
-- ping
-- pingservers
-- play
-- playdemo
-- playerconfig
-- players
-- playvol
-- pushlatency
-- pointfile
-- ppdemostart
-- pr_boundscheck
-- precache
-- prespawn
-- prev
-- profile
-- profilequit
-- prog
-- quit
-- r_drawentities
-- r_drawflat
-- r_draworder
-- r_drawviewmodel
-- r_dspeeds
-- r_dynamic
-- r_fullbright
-- r_lightmap
-- r_netgraph
-- r_netgraph_box
-- r_norefresh
-- r_novis
-- r_numedges
-- r_numsurfs
-- r_particles
-- r_polymodelstats
-- r_reportsurfout
-- r_shadows
-- r_speeds
-- r_timegraph
-- r_wateralpha
-- r_waterripple
-- r_waterwarp
-- r_zgraph
-- rcon
-- rcon_password
-- reconnect
-- record
-- registered
-- reload
-- removedemo
-- removeid
-- removeip
-- rerecord
-- reset
-- resetrcon
-- restart
-- retry
-- s_disable_a3d
-- s_enable_a3d
-- s_info
-- s_list
-- s_stop
-- samelevel
-- save
-- savegame
-- savetranslations
-- score
-- screenshot
-- screenshotJPEG
-- sectorlist
-- sendents
-- serverinfo
-- serverprofile
-- serverrecord
-- serverstatus
-- serverstop
-- setRecommended
-- setdemoinfo
-- setenv
-- setinfo
-- setmaster
-- setrom
-- shaderlist
-- show_fps
-- show_time
-- showdrop
-- showinfo
-- showip
-- showpackets
-- showpause
-- showram
-- showturtle
-- shutdownserver
-- singlePlayLink
-- sizedown
-- sizeup
-- skill
-- skin
-- skinlist
-- skins
-- sky
-- skyboxlist
-- slist
-- slot1
-- slot10
-- slot2
-- slot3
-- slot4
-- slot5
-- slot6
-- slot7
-- slot8
-- slot9
-- snap
-- snapall
-- snapshot
-- snapto
-- snd
-- snd_noextraupdate
-- snd_restart
-- snd_show
-- soundfade
-- soundinfo
-- soundlist
-- spawn
-- spdevmap
-- speak
-- special
-- specmode
-- spectator
-- spectator_password
-- spk
-- spmap
-- startLimboMode
-- startSingleplayer
-- startdemos
-- startmovie
-- startserver
-- stat
-- stats
-- status
-- stop
-- stopLimboMode
-- stopdemo
-- stoprecord
-- stopsound
-- stopul
-- streamingsound
-- stuffcmd
-- stuffcmds
-- sv
-- sv_allow_log
-- sv_allow_pings
-- sv_allow_status
-- sv_gamedir
-- sv_highchars
-- sv_mapcheck
-- sv_nostep
-- sv_spectatormaxspeed
-- sv_spetalk
-- sv_maplist
-- swapdemo
-- sys_cpuid
-- sys_dead_sleep
-- sys_extrasleep
-- sys_nostdout
-- systeminfo
-- taginfo
-- team
-- teamplay
-- tell
-- test
-- test2
-- time
-- thirdperson
-- timedemo
-- timeleft
-- timerefresh
-- toggle
-- togglebrowser
-- togglechat
-- toggleconsole
-- togglemenu
-- topcolor
-- touchFile
-- trackplayer
-- ui_restart
-- unalias
-- unbindall
-- updatehunkusage
-- updatescreen
-- upload
-- use
-- user
-- userinfo
-- users
-- v_centerspeed
-- v_cshift
-- v_idlescale
-- version
-- vid
-- vid_center
-- vid_config_x
-- vid_describecurrentmode
-- vid_describemode
-- vid_describemodes
-- vid_forcemode
-- vid_fullscreen
-- vid_fullscreen_mode
-- vid_minimize
-- vid_nopageflip
-- vid_nummodes
-- vid_restart
-- vid_stretch_by_2
-- vid_testmode
-- vid_windowed
-- vid_windowed_mode
-- vid_front
-- video
-- viewframe
-- viewmodel
-- viewnext
-- viewpos
-- viewprev
-- vminfo
-- vmprofile
-- voice_showbanned
-- votemap
-- vstr
-- wait
-- watervis
-- wave
-- weapon
-- weapon_knife
-- weaplast
-- weapnext
-- weapprev
-- windowsr_drawentities
-- writecfg
-- writeconfig
-- writeid
-- writeip
-- z_stats
+- ForceCloseComman
+- _config_com_baud
+- _config_com_modem
+- _vid_default_mode
+- _vid_default_mode_win
+- _vid_wait_override
+- _windowed_mouse
+- addip
+- addressbook
+- adjust_crosshair
+- advancedupdate
+- allow_download
+- allow_download_maps
+- allow_download_models
+- allow_download_skins
+- allow_download_sounds
+- allskins
+- appenddemo
+- autosave
+- ban
+- banClient
+- banUser
+- banid
+- baseskin
+- begin
+- bf
+- bgetmod
+- bindlist
+- block_switch
+- bottomcolor
+- buyNow
+- buyequip
+- cache_endgather
+- cache_flush
+- cache_mapchange
+- cache_print
+- cache_profile
+- cache_setindex
+- cache_startgather
+- cache_usedfile
+- cancelselect
+- cd
+- centerview
+- changeVectors
+- changelevel
+- changelevel2
+- changing
+- chase_active
+- cinematic
+- cl_deadbodyfilter
+- cl_gibfilter
+- cl_hightrack
+- cl_hudswap
+- cl_messages
+- cl_nodelta
+- cl_nolerp
+- cl_nopred
+- cl_predict_players
+- cl_rate
+- cl_sbar
+- cl_sbar_separator
+- cl_shownet
+- cl_sidespeed
+- cl_solid_players
+- cl_warncmd
+- cl_writecfg
+- clear
+- clearplayers
+- clientinfo
+- clientkick
+- cmd
+- cmdline
+- cmdlist
+- color
+- commands
+- condebug
+- condump
+- configstrings
+- confirm_quit
+- connect
+- contimes
+- coop
+- crash
+- credits
+- cropimages
+- crosshair
+- cvar_restart
+- cvarlist
+- d_mipcap
+- d_subdiv16
+- deathmatch
+- delta_clear
+- delta_stats
+- demo
+- demolist
+- demomap
+- demos
+- developer
+- devmap
+- dir
+- disconnect
+- dlfile
+- dmoptions
+- download
+- drawradar
+- drop
+- dropclient
+- dumpuser
+- edict
+- edictcount
+- edicts
+- endmovie
+- entities
+- envmap
+- error
+- escape
+- exec
+- exit
+- fastsprites
+- fdir
+- filterban
+- firstperson
+- floodprot
+- floodprotmsg
+- flush
+- fly
+- force_centerview
+- fov
+- fraglogfile
+- freelook
+- freeze
+- front
+- fs_openedList
+- fs_referencedList
+- fullinfo
+- fullserverinfo
+- game
+- gameCompleteStatus
+- gamedir
+- gamemap
+- gameversion
+- getcertificate
+- gfxinfo
+- gg
+- gib
+- gibload
+- gibstats
+- give
+- gl_affinemodels
+- gl_clear
+- gl_colorlights
+- gl_constretch
+- gl_cull
+- gl_dlight_lightmap
+- gl_dlight_polyblend
+- gl_dlight_smooth
+- gl_fb_bmodels
+- gl_fb_models
+- gl_finish
+- gl_fires
+- gl_flashblend
+- gl_keeptjunctions
+- gl_lerp_anim
+- gl_lightmode
+- gl_max_size
+- gl_multitexture
+- gl_nobind
+- gl_nocolors
+- gl_picmip
+- gl_playermip
+- gl_polyblend
+- gl_reportjunctions
+- gl_sky_clip
+- gl_skymultipass
+- gl_smoothmodels
+- gl_texsort
+- gl_texturemode
+- gl_triplebuffer
+- gl_ztrick
+- globalservers
+- god
+- gun
+- gun_model
+- gun_next
+- gun_prev
+- gunsmoke
+- heartbeat
+- help
+- hideconsole
+- hideradar
+- host_speeds
+- hostname
+- hpkextract
+- hpklist
+- hpkremove
+- hpkval
+- hud_centerid
+- imagelist
+- impulse
+- imt
+- in_bind
+- in_paste_buffer
+- in_restart
+- in_unbind
+- info
+- interp
+- invdrop
+- inven
+- invnext
+- invnextp
+- invnextw
+- invprev
+- invprevp
+- invprevw
+- invuse
+- joinserver
+- joy
+- joy_advancedupdate
+- joy_enable
+- joyadvanced
+- joyadvancedupdat
+- joyadvancedupdate
+- joyname
+- joystick
+- keys
+- kick
+- kill
+- killserver
+- lefthand
+- link
+- list
+- listdemo
+- listen
+- listid
+- listip
+- listmaps
+- load
+- loadas8bit
+- loadgame
+- loading
+- loadsky
+- loadtranslations
+- loc
+- localinfo
+- localservers
+- log
+- logaddress
+- logfile
+- lookspring
+- lookstrafe
+- m_filter
+- main
+- map
+- map_restart
+- maplist
+- maps
+- maxplayers
+- max_smokepuffs
+- max_shells
+- mcache
+- meminfo
+- menu
+- menu_addressbook
+- menu_credits
+- menu_help
+- menu_keys
+- menu_load
+- menu_loadgame
+- menu_main
+- menu_multiplayer
+- menu_options
+- menu_playerconfig
+- menu_quit
+- menu_save
+- menu_savegame
+- menu_setup
+- menu_select
+- menu_singleplayer
+- menu_startserver
+- menu_video
+- menu_dmoptions
+- menu_game
+- menu_joinserver
+- messagemode
+- messagemode2
+- messagemode3
+- messagemode4
+- model
+- modelist
+- modellist
+- msg
+- multiplayer
+- music
+- name
+- net_stats
+- new
+- next
+- nextul
+- nightvision
+- no_pogo_stick
+- noaim
+- noclip
+- noexit
+- nomonsters
+- noskins
+- nosound
+- notarget
+- options
+- packet
+- password
+- path
+- pausable
+- pause
+- paused
+- ping
+- pingservers
+- play
+- playdemo
+- playerconfig
+- players
+- playvol
+- pushlatency
+- pointfile
+- ppdemostart
+- pr_boundscheck
+- precache
+- prespawn
+- prev
+- profile
+- profilequit
+- prog
+- quit
+- r_drawentities
+- r_drawflat
+- r_draworder
+- r_drawviewmodel
+- r_dspeeds
+- r_dynamic
+- r_fullbright
+- r_lightmap
+- r_netgraph
+- r_netgraph_box
+- r_norefresh
+- r_novis
+- r_numedges
+- r_numsurfs
+- r_particles
+- r_polymodelstats
+- r_reportsurfout
+- r_shadows
+- r_speeds
+- r_timegraph
+- r_wateralpha
+- r_waterripple
+- r_waterwarp
+- r_zgraph
+- rcon
+- rcon_password
+- reconnect
+- record
+- registered
+- reload
+- removedemo
+- removeid
+- removeip
+- rerecord
+- reset
+- resetrcon
+- restart
+- retry
+- s_disable_a3d
+- s_enable_a3d
+- s_info
+- s_list
+- s_stop
+- samelevel
+- save
+- savegame
+- savetranslations
+- score
+- screenshot
+- screenshotJPEG
+- sectorlist
+- sendents
+- serverinfo
+- serverprofile
+- serverrecord
+- serverstatus
+- serverstop
+- setRecommended
+- setdemoinfo
+- setenv
+- setinfo
+- setmaster
+- setrom
+- shaderlist
+- show_fps
+- show_time
+- showdrop
+- showinfo
+- showip
+- showpackets
+- showpause
+- showram
+- showturtle
+- shutdownserver
+- singlePlayLink
+- sizedown
+- sizeup
+- skill
+- skin
+- skinlist
+- skins
+- sky
+- skyboxlist
+- slist
+- slot1
+- slot10
+- slot2
+- slot3
+- slot4
+- slot5
+- slot6
+- slot7
+- slot8
+- slot9
+- snap
+- snapall
+- snapshot
+- snapto
+- snd
+- snd_noextraupdate
+- snd_restart
+- snd_show
+- soundfade
+- soundinfo
+- soundlist
+- spawn
+- spdevmap
+- speak
+- special
+- specmode
+- spectator
+- spectator_password
+- spk
+- spmap
+- startLimboMode
+- startSingleplayer
+- startdemos
+- startmovie
+- startserver
+- stat
+- stats
+- status
+- stop
+- stopLimboMode
+- stopdemo
+- stoprecord
+- stopsound
+- stopul
+- streamingsound
+- stuffcmd
+- stuffcmds
+- sv
+- sv_allow_log
+- sv_allow_pings
+- sv_allow_status
+- sv_gamedir
+- sv_highchars
+- sv_mapcheck
+- sv_nostep
+- sv_spectatormaxspeed
+- sv_spetalk
+- sv_maplist
+- swapdemo
+- sys_cpuid
+- sys_dead_sleep
+- sys_extrasleep
+- sys_nostdout
+- systeminfo
+- taginfo
+- team
+- teamplay
+- tell
+- test
+- test2
+- time
+- thirdperson
+- timedemo
+- timeleft
+- timerefresh
+- toggle
+- togglebrowser
+- togglechat
+- toggleconsole
+- togglemenu
+- topcolor
+- touchFile
+- trackplayer
+- ui_restart
+- unalias
+- unbindall
+- updatehunkusage
+- updatescreen
+- upload
+- use
+- user
+- userinfo
+- users
+- v_centerspeed
+- v_cshift
+- v_idlescale
+- version
+- vid
+- vid_center
+- vid_config_x
+- vid_describecurrentmode
+- vid_describemode
+- vid_describemodes
+- vid_forcemode
+- vid_fullscreen
+- vid_fullscreen_mode
+- vid_minimize
+- vid_nopageflip
+- vid_nummodes
+- vid_restart
+- vid_stretch_by_2
+- vid_testmode
+- vid_windowed
+- vid_windowed_mode
+- vid_front
+- video
+- viewframe
+- viewmodel
+- viewnext
+- viewpos
+- viewprev
+- vminfo
+- vmprofile
+- voice_showbanned
+- votemap
+- vstr
+- wait
+- watervis
+- wave
+- weapon
+- weapon_knife
+- weaplast
+- weapnext
+- weapprev
+- windowsr_drawentities
+- writecfg
+- writeconfig
+- writeid
+- writeip
+- z_stats
-- ah
-- ActiveAction
-- _cl_color
-- _cl_name
-- _config_com_baud
-- _config_com_irq
-- _config_com_modem
-- _config_com_port
-- _config_modem_clear
-- _config_modem_dialtype
-- _config_modem_hangup
-- _config_modem_init
-- _snd_mixahead
-- _vid_default_mode
-- _vid_default_mode_win
-- _vid_wait_override
-- _windowed_mouse
-- address
-- adr
-- adr0
-- adr1
-- adr2
-- adr3
-- adr4
-- adr5
-- adr6
-- adr7
-- adr8
-- advanced
-- advaxisr
-- advaxisu
-- advaxisv
-- advaxisx
-- advaxisy
-- advaxisz
-- airaccelerate
-- allow
-- allow_download_players
-- ambient_fade
-- ambient_level
-- anglespeedkey
-- arch
-- array
-- arrays
-- att
-- auto
-- autoskins
-- b
-- bgmbuffer
-- bgmvolume
-- bit
-- bitdepth
-- blend
-- bob
-- bob_pitch
-- bob_roll
-- bob_up
-- bot_aasoptimize
-- bot_challenge
-- bot_debug
-- bot_developer
-- bot_enable
-- bot_fastchat
-- bot_forceclustering
-- bot_forcereachability
-- bot_forcewrite
-- bot_grapple
-- bot_groundonly
-- bot_interbreedbots
-- bot_interbreedchar
-- bot_interbreedcycle
-- bot_interbreedwrite
-- bot_maxdebugpolys
-- bot_miniplayers
-- bot_minplayers
-- bot_nochat
-- bot_pause
-- bot_reachability
-- bot_reloadcharacters
-- bot_report
-- bot_rocketjump
-- bot_saveroutingcache
-- bot_testclusters
-- bot_testichat
-- bot_testrchat
-- bot_testsolid
-- bot_thinktime
-- bot_visualizejumppads
-- brighten
-- brightness
-- broken
-- cd
-- cd_loopcount
-- cd_looptrack
-- cd_nocd
-- cd_plugin
-- centermove
-- centerspeed
-- centertime
-- cg_autoactivate
-- cg_autoswitch
-- cg_blinktime
-- cg_bloodTime
-- cg_bobpitch
-- cg_bobroll
-- cg_bobup
-- cg_brassTime
-- cg_cameraOrbitDelay
-- cg_clipboardName
-- cg_coronafardist
-- cg_coronas
-- cg_crosshairAlpha
-- cg_crosshairHealth
-- cg_crosshairSize
-- cg_crosshairX
-- cg_crosshairY
-- cg_currentSelectedPlayer
-- cg_currentSelectedPlayerName
-- cg_cursorHints
-- cg_cycleAllWeaps
-- cg_deferPlayers
-- cg_descriptiveText
-- cg_draw2D
-- cg_draw3dIcons
-- cg_drawAllWeaps
-- cg_drawAmmoWarning
-- cg_drawAttacker
-- cg_drawCompass
-- cg_drawCrosshair
-- cg_drawCrosshairNames
-- cg_drawCrosshairPickups
-- cg_drawFPGun
-- cg_drawFPS
-- cg_drawFrags
-- cg_drawGun
-- cg_drawIcons
-- cg_drawNotifyText
-- cg_drawRewards
-- cg_drawSnapshot
-- cg_drawSpreadScale
-- cg_drawStatus
-- cg_drawTeamOverlay
-- cg_drawTimer
-- cg_emptyswitch
-- cg_fov
-- cg_forcemodel
-- cg_gibs
-- cg_hudAlpha
-- cg_hudFiles
-- cg_lagometer
-- cg_marks
-- cg_marktime
-- cg_noplayeranims
-- cg_nopredict
-- cg_noTaunt
-- cg_noVoiceChats
-- cg_noVoiceText
-- cg_particleDist
-- cg_particleLOD
-- cg_popupLimboMenu
-- cg_predictItems
-- cg_quickMessageAlt
-- cg_railTrailTime
-- cg_recoilPitch
-- cg_reticleBrightness
-- cg_reticleType
-- cg_runpitch
-- cg_runroll
-- cg_scorePlums
-- cg_selectedPlayer
-- cg_selectedPlayerName
-- cg_shadows
-- cg_showblood
-- cg_simpleItems
-- cg_skybox
-- cg_stereoSeparation
-- cg_teamChatHeight
-- cg_teamChatTime
-- cg_teamChatsOnly
-- cg_thirdperson
-- cg_thirdpersonrange
-- cg_thirdPersonAngle
-- cg_useWeapsForZoom
-- cg_uselessNostalgia
-- cg_viewsize
-- cg_voiceSpriteTime
-- cg_weaponCycleDelay
-- cg_wolfparticles
-- cg_zoomDefaultBinoc
-- cg_zoomDefaultFG
-- cg_zoomDefaultSniper
-- cg_zoomDefaultSnooper
-- cg_zoomStepBinoc
-- cg_zoomStepFG
-- cg_zoomStepSnooper
-- cg_zoomfov
-- cg_zoomstepsniper
-- chase_active
-- chase_back
-- chase_right
-- chase_up
-- cheats
-- cl
-- cl_allowDownload
-- cl_anglespeedkey
-- cl_anonymous
-- cl_autoexec
-- cl_autoskins
-- cl_avidemo
-- cl_backspeed
-- cl_blend
-- cl_bob
-- cl_bobcycle
-- cl_bobup
-- cl_bypassMouseInput
-- cl_cacheGathering
-- cl_camera_maxpitch
-- cl_camera_maxyaw
-- cl_chasecam
-- cl_chatmode
-- cl_conXOffset
-- cl_crossx
-- cl_crossy
-- cl_cshift_bonus
-- cl_cshift_content
-- cl_cshift_damage
-- cl_cshift_powerup
-- cl_debugMove
-- cl_debugTranslation
-- cl_demospeed
-- cl_entities
-- cl_footsteps
-- cl_forceavidemo
-- cl_forwardspeed
-- cl_freelook
-- cl_freezeDemo
-- cl_gun
-- cl_hidefrags
-- cl_hightrack
-- cl_hudswap
-- cl_language
-- cl_lights
-- cl_maxPing
-- cl_maxfps
-- cl_maxpackets
-- cl_motd
-- cl_motdString
-- cl_mouseAccel
-- cl_movespeedkey
-- cl_nodelta
-- cl_nofake
-- cl_nolerp
-- cl_nopred
-- cl_noprint
-- cl_noskins
-- cl_packetdup
-- cl_parsesay
-- cl_particles
-- cl_paused
-- cl_pitchspeed
-- cl_predict
-- cl_predict_players
-- cl_predict_players2
-- cl_observercrosshair
-- cl_quakerc
-- cl_rollangle
-- cl_rollspeed
-- cl_run
-- cl_running
-- cl_serverStatusResendTime
-- cl_showfps
-- cl_showSend
-- cl_showServerCommands
-- cl_showTimeDelta
-- cl_showmiss
-- cl_showmouserate
-- cl_shownet
-- cl_shownuments
-- cl_sidespeed
-- cl_stats
-- cl_stereo
-- cl_stereo_separation
-- cl_testblend
-- cl_testentities
-- cl_testlights
-- cl_testparticles
-- cl_timeNudge
-- cl_timeout
-- cl_upspeed
-- cl_verstring
-- cl_visibleClients
-- cl_vwep
-- cl_waitForFire
-- cl_wavefilerecord
-- cl_yawspeed
-- clear
-- clearcolor
-- clientport
-- cm_playerCurveClip
-- cmd_highchars
-- cmd_warncmd
-- cmdlist
-- color
-- color1
-- color2
-- com_blood
-- com_buildScript
-- com_cameraMode
-- com_dropsim
-- com_hunkMegs
-- com_hunkused
-- com_introplayed
-- com_maxfps
-- com_recommendedSet
-- com_showtrace
-- com_soundMegs
-- com_speeds
-- com_zoneMegs
-- compiled
-- con_debug
-- con_notifytime
-- con_restricted
-- conspeed
-- contrast
-- coop
-- crosshair
-- crosshaircolor
-- cull
-- d_mipcap
-- d_mipscale
-- deathmatch
-- debug_protocol
-- debuggraph
-- dedicated
-- devdll
-- developer
-- dlabs
-- dmflags
-- dm
-- down
-- download
-- drawall
-- drawbuffer
-- drawentities
-- drawflat
-- draworder
-- drawworld
-- driver
-- dspeeds
-- dynamic
-- easter_eggs
-- edgefriction
-- empty
-- enforcetime
-- entities
-- entlatency
-- ext
-- filter
-- filterban
-- finish
-- fixedtime
-- flashblend
-- flood
-- flood_msgs
-- flood_persecond
-- flood_waitdelay
-- flushmap
-- footsteps
-- forward
-- forwardsensitivity
-- forwardspeed
-- forwardthreshold
-- fov
-- fraglimit
-- freelook
-- fs_basegame
-- fs_basepath
-- fs_cdpath
-- fs_copyfiles
-- fs_debug
-- fs_game
-- fs_globalcfg
-- fs_homepath
-- fs_pluginpath
-- fs_restrict
-- fs_sharepath
-- fs_skinbase
-- fs_usercfg
-- fs_userpath
-- fullbright
-- fullscreen
-- g_allowvote
-- g_altStopwatchMode
-- g_arenasFile
-- g_blueTeam
-- g_botsFile
-- g_complaintlimit
-- g_currentRound
-- g_friendlyFire
-- g_gameskill
-- g_gametype
-- g_maxlives
-- g_minGameClients
-- g_missionStats
-- g_nextTimeLimit
-- g_noTeamSwitching
-- g_redTeam
-- g_select_empty
-- g_spAwards
-- g_spScores1
-- g_spScores2
-- g_spScores3
-- g_spScores4
-- g_spScores5
-- g_spSkill
-- g_spVideos
-- g_userAlliedRespawnTime
-- g_userAxisRespawnTime
-- g_userTimeLimit
-- game
-- gamecfg
-- gamedate
-- gamedir
-- gamename
-- gamestate
-- gamma
-- gender
-- gender_auto
-- gl_3dlabs_broken
-- gl_allow_software
-- gl_bitdepth
-- gl_clear
-- gl_conalpha
-- gl_conspin
-- gl_cshiftpercent
-- gl_cull
-- gl_drawbuffer
-- gl_driver
-- gl_dynamic
-- gl_ext_compiled_vertex_array
-- gl_ext_multitexture
-- gl_ext_palettedtexture
-- gl_ext_pointparameters
-- gl_ext_swapinterval
-- gl_finish
-- gl_flashblend
-- gl_keeptjunctions
-- gl_lightmap
-- gl_lightmap_align
-- gl_lightmap_subimage
-- gl_lockpvs
-- gl_log
-- gl_max_size
-- gl_mesh_cache
-- gl_mode
-- gl_modulate
-- gl_monolightmap
-- gl_nobind
-- gl_nocolors
-- gl_nosubimage
-- gl_occlusion
-- gl_particle_att_a
-- gl_particle_att_b
-- gl_particle_att_c
-- gl_particle_max_size
-- gl_particle_min_size
-- gl_particle_mip
-- gl_particle_size
-- gl_picmip
-- gl_playermip
-- gl_polyblend
-- gl_reporttjunctions
-- gl_round_down
-- gl_saturatelighting
-- gl_screenshot_byte_swap
-- gl_shadows
-- gl_showtris
-- gl_sky_debug
-- gl_sky_divide
-- gl_skymip
-- gl_smoothmodels
-- gl_subdivide_size
-- gl_swapinterval
-- gl_texsort
-- gl_texturealphamode
-- gl_texturemode
-- gl_texturesolidmode
-- gl_triplebuffer
-- gl_vertex_arrays
-- gl_ztrick
-- graphheight
-- graphscale
-- graphshift
-- gravity
-- gun
-- gun_x
-- gun_y
-- gun_z
-- hand
-- handicap
-- head
-- headModel
-- headmodel
-- host
-- host_framerate
-- host_speeds
-- hostname
-- hostport
-- hud_fastswitch
-- in
-- in_amp
-- in_bind_imt
-- in_debugjoystick
-- in_dga
-- in_dga_mouseaccel
-- in_dgamouse
-- in_grab
-- in_joystick
-- in_midi
-- in_mouse
-- in_mouse_amp
-- in_mouse_filter
-- in_mouse_pre_amp
-- in_pre_amp
-- initsound
-- intensity
-- ip
-- ip_clientport
-- ip_hostport
-- ipx
-- ipx_clientport
-- ipx_hostport
-- journal
-- joy
-- joy_advanced
-- joy_advaxisr
-- joy_advaxisu
-- joy_advaxisv
-- joy_advaxisx
-- joy_advaxisy
-- joy_advaxisz
-- joy_amp
-- joy_device
-- joy_forwardsensitivity
-- joy_forwardthreshold
-- joy_name
-- joy_pitchsensitivity
-- joy_pitchthreshold
-- joy_pre_amp
-- joy_sensitivity
-- joy_sidesensitivity
-- joy_sidethreshold
-- joy_threshold
-- joy_upsensitivity
-- joy_upthreshold
-- joy_yawsensitivity
-- joy_yawthreshold
-- joyadvanced
-- joyadvaxisr
-- joyadvaxisu
-- joyadvaxisv
-- joyadvaxisx
-- joyadvaxisy
-- joyadvaxisz
-- joyaxis1
-- joyaxis2
-- joyaxis3
-- joyaxis4
-- joyaxis5
-- joyaxis6
-- joyaxis7
-- joyaxis8
-- joyforwardsensitivity
-- joyforwardthreshold
-- joyname
-- joypitchsensitivity
-- joypitchthreshold
-- joysidesensitivity
-- joysidethreshold
-- joystick
-- joywwhack1
-- joywwhack2
-- joyyawsensitivity
-- joyyawthreshold
-- khz
-- lcd_x
-- lcd_yaw
-- lerpmodels
-- lightmap
-- lights
-- limit
-- listen
-- loadas
-- loadas8bit
-- localid
-- lockpvs
-- log
-- log_stats
-- logfile
-- lookspring
-- lookstrafe
-- loopcount
-- looptrack
-- m_filter
-- m_forward
-- m_pitch
-- m_side
-- m_yaw
-- mapname
-- maps
-- max
-- maxclients
-- maxedges
-- maxentities
-- maxfps
-- maxplayers
-- maxspectators
-- maxsurfs
-- maxvelocity
-- min
-- mipcap
-- mipscale
-- mixahead
-- mode
-- model
-- models
-- modex
-- modulate
-- monolightmap
-- mouse
-- mp_currentPlayerType
-- mp_currentTeam
-- mp_playerType
-- mp_team
-- mp_weapon
-- mp_autokick
-- mp_autoteambalance
-- mp_c4timer
-- mp_flashlight
-- mp_footsteps
-- mp_forcechasecam
-- mp_freezetime
-- mp_friendlyfire
-- mp_hostagepenalty
-- mp_limitteams
-- mp_logmessages
-- mp_mapvoteration
-- mp_roundtime
-- mp_timelimit
-- mp_tkpunish
-- msg
-- msgs
-- multitexture
-- name
-- net_graph
-- net_ip
-- net_messagetimeout
-- net_noudp
-- net_port
-- net_qport
-- net_restart
-- netdosexpire
-- netdosvalues
-- netgraph
-- nextdemo
-- nextmap
-- nextserver
-- noalttab
-- nobind
-- nocd
-- nocull
-- nodelta
-- noexit
-- nomonsters
-- norefresh
-- noreload
-- noskins
-- nosound
-- nosubimage
-- novis
-- palettedtexture
-- particle
-- particles
-- password
-- pausable
-- persecond
-- picmip
-- pitch
-- pitchsensitivity
-- pitchspeed
-- pitchthreshold
-- playermip
-- players
-- pointparameters
-- polyblend
-- polymodelstats
-- port
-- pr_checkextensions
-- pr_deadbeef_ents
-- pr_deadbeef_locals
-- pr_debug
-- pr_source_path
-- precache
-- predict
-- primary
-- printspeed
-- protocol
-- public
-- pushlatency
-- qport
-- r_aliastransadj
-- r_aliastransbase
-- r_allowExtensions
-- r_allowSoftwareGL
-- r_ambient
-- r_ambientScale
-- r_bonesDebug
-- r_cache
-- r_cacheModels
-- r_cacheShaders
-- r_clear
-- r_clearcolor
-- r_colorMipLevels
-- r_colorbits
-- r_compressModels
-- r_customaspect
-- r_customheight
-- r_customwidth
-- r_debugSort
-- r_debugSurface
-- r_debuglight
-- r_depthbits
-- r_detailtextures
-- r_directedScale
-- r_displayRefresh
-- r_dlightBacks
-- r_dlight_lightmap
-- r_dlight_max
-- r_drawBuffer
-- r_drawSun
-- r_drawentities
-- r_drawexplosions
-- r_drawflat
-- r_draworder
-- r_drawviewmodel
-- r_drawworld
-- r_dspeeds
-- r_dynamic
-- r_dynamiclight
-- r_explosionclip
-- r_exportCompressedModels
-- r_ext_compiled_vertex_array
-- r_ext_compress_textures
-- r_ext_compressed_textures
-- r_ext_gamma_control
-- r_ext_multitexture
-- r_ext_texture_env_add
-- r_facePlaneCull
-- r_fastsky
-- r_finish
-- r_firecolor
-- r_flareFade
-- r_flareSize
-- r_flares
-- r_fullbright
-- r_fullscreen
-- r_gamma
-- r_glDriver
-- r_glIgnoreWicked3D
-- r_graphheight
-- r_highQualit
-- r_highQualityVideo
-- r_ignore
-- r_ignoreFastPath
-- r_ignoreGLErrors
-- r_ignorehwgamma
-- r_inGameVideo
-- r_intensity
-- r_lastValidRenderer
-- r_lerpmodels
-- r_lightmap
-- r_lightmap_components
-- r_lockpvs
-- r_lodCurveError
-- r_lodbias
-- r_lodscale
-- r_logFile
-- r_lowMemTextureSize
-- r_lowMemTextureThreshold
-- r_mapOverBrightBits
-- r_maxedges
-- r_maxpolys
-- r_maxpolyverts
-- r_maxsurfs
-- r_measureOverdraw
-- r_mirroralpha
-- r_mode
-- r_netgraph
-- r_netgraph_alpha
-- r_nobind
-- r_nocull
-- r_nocurves
-- r_noportals
-- r_norefresh
-- r_novis
-- r_numedges
-- r_numsurfs
-- r_offsetfactor
-- r_offsetunits
-- r_overBrightBits
-- r_particles_max
-- r_particles_style
-- r_picmip
-- r_picmip2
-- r_polymodelstats
-- r_portalOnly
-- r_preloadTextures
-- r_previousglDriver
-- r_primitives
-- r_printShaders
-- r_railCoreWidth
-- r_railSegmentLength
-- r_railWidth
-- r_reportedgeout
-- r_reportsurfout
-- r_rmse
-- r_roundImagesDown
-- r_saveFontData
-- r_shadows
-- r_showImages
-- r_showSmp
-- r_showcluster
-- r_shownormals
-- r_showsky
-- r_showtris
-- r_simpleMipMaps
-- r_singleShader
-- r_skipBackEnd
-- r_skyname
-- r_smp
-- r_speeds
-- r_stencilbits
-- r_stereo
-- r_subdivisions
-- r_swapInterval
-- r_textureMode
-- r_texturebits
-- r_timegraph
-- r_uiFullScreen
-- r_verbose
-- r_vertexLight
-- r_wateralpha
-- r_waterwarp
-- r_wolffog
-- r_zfar
-- r_znear
-- rate
-- rcon
-- rconAddress
-- rconPassword
-- rcon_address
-- rcon_password
-- reconnect
-- ref
-- registered
-- reportedgeout
-- reportsurfout
-- roll
-- rollangle
-- rollspeed
-- round
-- run
-- run_pitch
-- run_roll
-- s_compression
-- s_defaultsound
-- s_doppler
-- s_initsound
-- s_khz
-- s_loadas8bit
-- s_mixPreStep
-- s_mixahead
-- s_musicvolume
-- s_mute
-- s_nocompressed
-- s_usingA3D
-- s_primary
-- s_separation
-- s_show
-- s_testsound
-- s_volume
-- s_wavonly
-- samelevel
-- saturatelighting
-- saved1
-- saved2
-- saved3
-- saved4
-- savedgamecfg
-- scr
-- scr_centertime
-- scr_consize
-- scr_conspeed
-- scr_drawall
-- scr_ofsx
-- scr_ofsy
-- scr_ofsz
-- scr_printspeed
-- scr_showpause
-- scr_showturtle
-- scratch1
-- scratch2
-- scratch3
-- scratch4
-- screenshot
-- select
-- sensitivity
-- separation
-- server1
-- server10
-- server11
-- server12
-- server13
-- server14
-- server15
-- server16
-- server2
-- server3
-- server4
-- server5
-- server6
-- server7
-- server8
-- server9
-- serverprofile
-- sex
-- shadows
-- show
-- showclamp
-- showdrop
-- showmiss
-- shownet
-- showpackets
-- showpause
-- showram
-- showtrace
-- showtris
-- showturtle
-- side
-- sidesensitivity
-- sidespeed
-- sidethreshold
-- size
-- skill
-- skin
-- skymip
-- snaps
-- snd_bits
-- snd_device
-- snd_interp
-- snd_loadas8bit
-- snd_mixahead
-- snd_noextraupdate
-- snd_oss_mmaped
-- snd_output
-- snd_phasesep
-- snd_rate
-- snd_render
-- snd_show
-- snd_stereo
-- snd_volumesep
-- sndbits
-- sndchannels
-- snddevice
-- sndspeed
-- software
-- sounds
-- spectator
-- spectator_password
-- speeds
-- stats
-- stereo
-- stipplealpha
-- surfcacheoverride
-- sv
-- sv_accelerate
-- sv_aim
-- sv_airaccelerate
-- sv_allowAnonymous
-- sv_allowDownload
-- sv_cheats
-- sv_enforcetime
-- sv_floodProtect
-- sv_fps
-- sv_friction
-- sv_gravity
-- sv_hostname
-- sv_idealpitchscale
-- sv_keywords
-- sv_killserver
-- sv_mapChecksum
-- sv_master1
-- sv_master2
-- sv_master3
-- sv_master4
-- sv_master5
-- sv_maxPing
-- sv_maxRate
-- sv_maxclients
-- sv_maxrate
-- sv_maxspeed
-- sv_maxtic
-- sv_maxvelocity
-- sv_minPing
-- sv_minqfversion
-- sv_mintic
-- sv_netdosprotect
-- sv_noreload
-- sv_nostep
-- sv_onlyVisibleClients
-- sv_padPackets
-- sv_pakNames
-- sv_paks
-- sv_paused
-- sv_phs
-- sv_privateClients
-- sv_privatePassword
-- sv_progs
-- sv_pure
-- sv_reconnect_limit
-- sv_reconnectlimit
-- sv_referencedPakNames
-- sv_referencedPaks
-- sv_restartround
-- sv_rollangle
-- sv_rollspeed
-- sv_running
-- sv_serverid
-- sv_showAverageBPS
-- sv_showloss
-- sv_spectalk
-- sv_stopspeed
-- sv_timefmt
-- sv_timekick
-- sv_timekick_fuzz
-- sv_timekick_interval
-- sv_timeout
-- sv_timestamps
-- sv_wateraccelerate
-- sv_waterfriction
-- sv_zombietime
-- sw
-- sw_allow_modex
-- sw_clearcolor
-- sw_drawflat
-- sw_draworder
-- sw_maxedges
-- sw_maxsurfs
-- sw_mipcap
-- sw_mipscale
-- sw_mode
-- sw_polymodelstats
-- sw_reportedgeout
-- sw_reportsurfout
-- sw_stipplealpha
-- sw_surfcacheoverride
-- sw_waterwarp
-- swapinterval
-- sys_cpustring
-- sys_nostdout
-- sys_sleep
-- sys_ticrate
-- team
-- team_headmodel
-- team_model
-- teamplay
-- teamtask
-- temp1
-- testblend
-- testentities
-- testlights
-- testparticles
-- testsound
-- texturealphamode
-- texturemode
-- texturesolidmode
-- timedemo
-- timegraph
-- timelimit
-- timeout
-- timescale
-- topcolor
-- triplebuffer
-- ttycon
-- ui_Q3Model
-- ui_actualNetGametype
-- ui_bigFont
-- ui_browserGameType
-- ui_browserMaster
-- ui_browserShowEmpty
-- ui_browserShowFriendlyFire
-- ui_browserShowFull
-- ui_browserShowMaxlives
-- ui_browserShowTourney
-- ui_browserSortKey
-- ui_cdkeychecked
-- ui_class
-- ui_cmd
-- ui_ctf_capturelimit
-- ui_ctf_friendly
-- ui_ctf_timelimit
-- ui_currentMap
-- ui_currentNetMap
-- ui_dedicated
-- ui_ffa_fraglimit
-- ui_ffa_timelimit
-- ui_gametype
-- ui_glCustom
-- ui_isSpectator
-- ui_joinGametype
-- ui_limboMode
-- ui_limboObjective
-- ui_limboOptions
-- ui_limboPrevOptions
-- ui_mapIndex
-- ui_master
-- ui_menuFiles
-- ui_mousePitch
-- ui_netGametype
-- ui_netSource
-- ui_notebookCurrentPage
-- ui_objective
-- ui_prevClass
-- ui_prevTeam
-- ui_prevWeapon
-- ui_serverStatusTimeOut
-- ui_singlePlayerActive
-- ui_smallFont
-- ui_spSelection
-- ui_team
-- ui_teamArenaFirstRun
-- ui_team_fraglimit
-- ui_team_friendly
-- ui_team_timelimit
-- ui_tourney_fraglimit
-- ui_tourney_timelimit
-- ui_userAlliedRespawnTime
-- ui_userAxisRespawnTime
-- ui_userTimeLimit
-- ui_weapon
-- up
-- upsensitivity
-- upspeed
-- upthreshold
-- username
-- v_centermove
-- v_centerspeed
-- v_idlescale
-- v_ipitch_cycle
-- v_ipitch_level
-- v_iroll_cycle
-- v_iroll_level
-- v_iuaw_cycle
-- v_iyaw_cycle
-- v_iyaw_level
-- v_kickpitch
-- v_kickroll
-- v_kicktime
-- version
-- vertex
-- vid
-- vid_config_x
-- vid_config_y
-- vid_fullscreen
-- vid_fullscreen_mode
-- vid_gamma
-- vid_height
-- vid_mode
-- vid_nopageflip
-- vid_ref
-- vid_system_gamma
-- vid_use8bit
-- vid_wait
-- vid_width
-- vid_window_x
-- vid_window_y
-- vid_windowed_mode
-- vid_xpos
-- vid_ypos
-- viewlog
-- viewsize
-- vm_cgame
-- vm_game
-- vm_ui
-- volume
-- vwep
-- waitdelay
-- waterwarp
-- wavonly
-- win
-- win_noalttab
-- win_hinstance
-- win_wndproc
-- xpos
-- yaw
-- yawsensitivity
-- yawspeed
-- yawthreshold
-- ypos
-- zombietime
-- ztrick
+- ah
+- ActiveAction
+- _cl_color
+- _cl_name
+- _config_com_baud
+- _config_com_irq
+- _config_com_modem
+- _config_com_port
+- _config_modem_clear
+- _config_modem_dialtype
+- _config_modem_hangup
+- _config_modem_init
+- _snd_mixahead
+- _vid_default_mode
+- _vid_default_mode_win
+- _vid_wait_override
+- _windowed_mouse
+- address
+- adr
+- adr0
+- adr1
+- adr2
+- adr3
+- adr4
+- adr5
+- adr6
+- adr7
+- adr8
+- advanced
+- advaxisr
+- advaxisu
+- advaxisv
+- advaxisx
+- advaxisy
+- advaxisz
+- airaccelerate
+- allow
+- allow_download_players
+- ambient_fade
+- ambient_level
+- anglespeedkey
+- arch
+- array
+- arrays
+- att
+- auto
+- autoskins
+- b
+- bgmbuffer
+- bgmvolume
+- bit
+- bitdepth
+- blend
+- bob
+- bob_pitch
+- bob_roll
+- bob_up
+- bot_aasoptimize
+- bot_challenge
+- bot_debug
+- bot_developer
+- bot_enable
+- bot_fastchat
+- bot_forceclustering
+- bot_forcereachability
+- bot_forcewrite
+- bot_grapple
+- bot_groundonly
+- bot_interbreedbots
+- bot_interbreedchar
+- bot_interbreedcycle
+- bot_interbreedwrite
+- bot_maxdebugpolys
+- bot_miniplayers
+- bot_minplayers
+- bot_nochat
+- bot_pause
+- bot_reachability
+- bot_reloadcharacters
+- bot_report
+- bot_rocketjump
+- bot_saveroutingcache
+- bot_testclusters
+- bot_testichat
+- bot_testrchat
+- bot_testsolid
+- bot_thinktime
+- bot_visualizejumppads
+- brighten
+- brightness
+- broken
+- cd
+- cd_loopcount
+- cd_looptrack
+- cd_nocd
+- cd_plugin
+- centermove
+- centerspeed
+- centertime
+- cg_autoactivate
+- cg_autoswitch
+- cg_blinktime
+- cg_bloodTime
+- cg_bobpitch
+- cg_bobroll
+- cg_bobup
+- cg_brassTime
+- cg_cameraOrbitDelay
+- cg_clipboardName
+- cg_coronafardist
+- cg_coronas
+- cg_crosshairAlpha
+- cg_crosshairHealth
+- cg_crosshairSize
+- cg_crosshairX
+- cg_crosshairY
+- cg_currentSelectedPlayer
+- cg_currentSelectedPlayerName
+- cg_cursorHints
+- cg_cycleAllWeaps
+- cg_deferPlayers
+- cg_descriptiveText
+- cg_draw2D
+- cg_draw3dIcons
+- cg_drawAllWeaps
+- cg_drawAmmoWarning
+- cg_drawAttacker
+- cg_drawCompass
+- cg_drawCrosshair
+- cg_drawCrosshairNames
+- cg_drawCrosshairPickups
+- cg_drawFPGun
+- cg_drawFPS
+- cg_drawFrags
+- cg_drawGun
+- cg_drawIcons
+- cg_drawNotifyText
+- cg_drawRewards
+- cg_drawSnapshot
+- cg_drawSpreadScale
+- cg_drawStatus
+- cg_drawTeamOverlay
+- cg_drawTimer
+- cg_emptyswitch
+- cg_fov
+- cg_forcemodel
+- cg_gibs
+- cg_hudAlpha
+- cg_hudFiles
+- cg_lagometer
+- cg_marks
+- cg_marktime
+- cg_noplayeranims
+- cg_nopredict
+- cg_noTaunt
+- cg_noVoiceChats
+- cg_noVoiceText
+- cg_particleDist
+- cg_particleLOD
+- cg_popupLimboMenu
+- cg_predictItems
+- cg_quickMessageAlt
+- cg_railTrailTime
+- cg_recoilPitch
+- cg_reticleBrightness
+- cg_reticleType
+- cg_runpitch
+- cg_runroll
+- cg_scorePlums
+- cg_selectedPlayer
+- cg_selectedPlayerName
+- cg_shadows
+- cg_showblood
+- cg_simpleItems
+- cg_skybox
+- cg_stereoSeparation
+- cg_teamChatHeight
+- cg_teamChatTime
+- cg_teamChatsOnly
+- cg_thirdperson
+- cg_thirdpersonrange
+- cg_thirdPersonAngle
+- cg_useWeapsForZoom
+- cg_uselessNostalgia
+- cg_viewsize
+- cg_voiceSpriteTime
+- cg_weaponCycleDelay
+- cg_wolfparticles
+- cg_zoomDefaultBinoc
+- cg_zoomDefaultFG
+- cg_zoomDefaultSniper
+- cg_zoomDefaultSnooper
+- cg_zoomStepBinoc
+- cg_zoomStepFG
+- cg_zoomStepSnooper
+- cg_zoomfov
+- cg_zoomstepsniper
+- chase_active
+- chase_back
+- chase_right
+- chase_up
+- cheats
+- cl
+- cl_allowDownload
+- cl_anglespeedkey
+- cl_anonymous
+- cl_autoexec
+- cl_autoskins
+- cl_avidemo
+- cl_backspeed
+- cl_blend
+- cl_bob
+- cl_bobcycle
+- cl_bobup
+- cl_bypassMouseInput
+- cl_cacheGathering
+- cl_camera_maxpitch
+- cl_camera_maxyaw
+- cl_chasecam
+- cl_chatmode
+- cl_conXOffset
+- cl_crossx
+- cl_crossy
+- cl_cshift_bonus
+- cl_cshift_content
+- cl_cshift_damage
+- cl_cshift_powerup
+- cl_debugMove
+- cl_debugTranslation
+- cl_demospeed
+- cl_entities
+- cl_footsteps
+- cl_forceavidemo
+- cl_forwardspeed
+- cl_freelook
+- cl_freezeDemo
+- cl_gun
+- cl_hidefrags
+- cl_hightrack
+- cl_hudswap
+- cl_language
+- cl_lights
+- cl_maxPing
+- cl_maxfps
+- cl_maxpackets
+- cl_motd
+- cl_motdString
+- cl_mouseAccel
+- cl_movespeedkey
+- cl_nodelta
+- cl_nofake
+- cl_nolerp
+- cl_nopred
+- cl_noprint
+- cl_noskins
+- cl_packetdup
+- cl_parsesay
+- cl_particles
+- cl_paused
+- cl_pitchspeed
+- cl_predict
+- cl_predict_players
+- cl_predict_players2
+- cl_observercrosshair
+- cl_quakerc
+- cl_rollangle
+- cl_rollspeed
+- cl_run
+- cl_running
+- cl_serverStatusResendTime
+- cl_showfps
+- cl_showSend
+- cl_showServerCommands
+- cl_showTimeDelta
+- cl_showmiss
+- cl_showmouserate
+- cl_shownet
+- cl_shownuments
+- cl_sidespeed
+- cl_stats
+- cl_stereo
+- cl_stereo_separation
+- cl_testblend
+- cl_testentities
+- cl_testlights
+- cl_testparticles
+- cl_timeNudge
+- cl_timeout
+- cl_upspeed
+- cl_verstring
+- cl_visibleClients
+- cl_vwep
+- cl_waitForFire
+- cl_wavefilerecord
+- cl_yawspeed
+- clear
+- clearcolor
+- clientport
+- cm_playerCurveClip
+- cmd_highchars
+- cmd_warncmd
+- cmdlist
+- color
+- color1
+- color2
+- com_blood
+- com_buildScript
+- com_cameraMode
+- com_dropsim
+- com_hunkMegs
+- com_hunkused
+- com_introplayed
+- com_maxfps
+- com_recommendedSet
+- com_showtrace
+- com_soundMegs
+- com_speeds
+- com_zoneMegs
+- compiled
+- con_debug
+- con_notifytime
+- con_restricted
+- conspeed
+- contrast
+- coop
+- crosshair
+- crosshaircolor
+- cull
+- d_mipcap
+- d_mipscale
+- deathmatch
+- debug_protocol
+- debuggraph
+- dedicated
+- devdll
+- developer
+- dlabs
+- dmflags
+- dm
+- down
+- download
+- drawall
+- drawbuffer
+- drawentities
+- drawflat
+- draworder
+- drawworld
+- driver
+- dspeeds
+- dynamic
+- easter_eggs
+- edgefriction
+- empty
+- enforcetime
+- entities
+- entlatency
+- ext
+- filter
+- filterban
+- finish
+- fixedtime
+- flashblend
+- flood
+- flood_msgs
+- flood_persecond
+- flood_waitdelay
+- flushmap
+- footsteps
+- forward
+- forwardsensitivity
+- forwardspeed
+- forwardthreshold
+- fov
+- fraglimit
+- freelook
+- fs_basegame
+- fs_basepath
+- fs_cdpath
+- fs_copyfiles
+- fs_debug
+- fs_game
+- fs_globalcfg
+- fs_homepath
+- fs_pluginpath
+- fs_restrict
+- fs_sharepath
+- fs_skinbase
+- fs_usercfg
+- fs_userpath
+- fullbright
+- fullscreen
+- g_allowvote
+- g_altStopwatchMode
+- g_arenasFile
+- g_blueTeam
+- g_botsFile
+- g_complaintlimit
+- g_currentRound
+- g_friendlyFire
+- g_gameskill
+- g_gametype
+- g_maxlives
+- g_minGameClients
+- g_missionStats
+- g_nextTimeLimit
+- g_noTeamSwitching
+- g_redTeam
+- g_select_empty
+- g_spAwards
+- g_spScores1
+- g_spScores2
+- g_spScores3
+- g_spScores4
+- g_spScores5
+- g_spSkill
+- g_spVideos
+- g_userAlliedRespawnTime
+- g_userAxisRespawnTime
+- g_userTimeLimit
+- game
+- gamecfg
+- gamedate
+- gamedir
+- gamename
+- gamestate
+- gamma
+- gender
+- gender_auto
+- gl_3dlabs_broken
+- gl_allow_software
+- gl_bitdepth
+- gl_clear
+- gl_conalpha
+- gl_conspin
+- gl_cshiftpercent
+- gl_cull
+- gl_drawbuffer
+- gl_driver
+- gl_dynamic
+- gl_ext_compiled_vertex_array
+- gl_ext_multitexture
+- gl_ext_palettedtexture
+- gl_ext_pointparameters
+- gl_ext_swapinterval
+- gl_finish
+- gl_flashblend
+- gl_keeptjunctions
+- gl_lightmap
+- gl_lightmap_align
+- gl_lightmap_subimage
+- gl_lockpvs
+- gl_log
+- gl_max_size
+- gl_mesh_cache
+- gl_mode
+- gl_modulate
+- gl_monolightmap
+- gl_nobind
+- gl_nocolors
+- gl_nosubimage
+- gl_occlusion
+- gl_particle_att_a
+- gl_particle_att_b
+- gl_particle_att_c
+- gl_particle_max_size
+- gl_particle_min_size
+- gl_particle_mip
+- gl_particle_size
+- gl_picmip
+- gl_playermip
+- gl_polyblend
+- gl_reporttjunctions
+- gl_round_down
+- gl_saturatelighting
+- gl_screenshot_byte_swap
+- gl_shadows
+- gl_showtris
+- gl_sky_debug
+- gl_sky_divide
+- gl_skymip
+- gl_smoothmodels
+- gl_subdivide_size
+- gl_swapinterval
+- gl_texsort
+- gl_texturealphamode
+- gl_texturemode
+- gl_texturesolidmode
+- gl_triplebuffer
+- gl_vertex_arrays
+- gl_ztrick
+- graphheight
+- graphscale
+- graphshift
+- gravity
+- gun
+- gun_x
+- gun_y
+- gun_z
+- hand
+- handicap
+- head
+- headModel
+- headmodel
+- host
+- host_framerate
+- host_speeds
+- hostname
+- hostport
+- hud_fastswitch
+- in
+- in_amp
+- in_bind_imt
+- in_debugjoystick
+- in_dga
+- in_dga_mouseaccel
+- in_dgamouse
+- in_grab
+- in_joystick
+- in_midi
+- in_mouse
+- in_mouse_amp
+- in_mouse_filter
+- in_mouse_pre_amp
+- in_pre_amp
+- initsound
+- intensity
+- ip
+- ip_clientport
+- ip_hostport
+- ipx
+- ipx_clientport
+- ipx_hostport
+- journal
+- joy
+- joy_advanced
+- joy_advaxisr
+- joy_advaxisu
+- joy_advaxisv
+- joy_advaxisx
+- joy_advaxisy
+- joy_advaxisz
+- joy_amp
+- joy_device
+- joy_forwardsensitivity
+- joy_forwardthreshold
+- joy_name
+- joy_pitchsensitivity
+- joy_pitchthreshold
+- joy_pre_amp
+- joy_sensitivity
+- joy_sidesensitivity
+- joy_sidethreshold
+- joy_threshold
+- joy_upsensitivity
+- joy_upthreshold
+- joy_yawsensitivity
+- joy_yawthreshold
+- joyadvanced
+- joyadvaxisr
+- joyadvaxisu
+- joyadvaxisv
+- joyadvaxisx
+- joyadvaxisy
+- joyadvaxisz
+- joyaxis1
+- joyaxis2
+- joyaxis3
+- joyaxis4
+- joyaxis5
+- joyaxis6
+- joyaxis7
+- joyaxis8
+- joyforwardsensitivity
+- joyforwardthreshold
+- joyname
+- joypitchsensitivity
+- joypitchthreshold
+- joysidesensitivity
+- joysidethreshold
+- joystick
+- joywwhack1
+- joywwhack2
+- joyyawsensitivity
+- joyyawthreshold
+- khz
+- lcd_x
+- lcd_yaw
+- lerpmodels
+- lightmap
+- lights
+- limit
+- listen
+- loadas
+- loadas8bit
+- localid
+- lockpvs
+- log
+- log_stats
+- logfile
+- lookspring
+- lookstrafe
+- loopcount
+- looptrack
+- m_filter
+- m_forward
+- m_pitch
+- m_side
+- m_yaw
+- mapname
+- maps
+- max
+- maxclients
+- maxedges
+- maxentities
+- maxfps
+- maxplayers
+- maxspectators
+- maxsurfs
+- maxvelocity
+- min
+- mipcap
+- mipscale
+- mixahead
+- mode
+- model
+- models
+- modex
+- modulate
+- monolightmap
+- mouse
+- mp_currentPlayerType
+- mp_currentTeam
+- mp_playerType
+- mp_team
+- mp_weapon
+- mp_autokick
+- mp_autoteambalance
+- mp_c4timer
+- mp_flashlight
+- mp_footsteps
+- mp_forcechasecam
+- mp_freezetime
+- mp_friendlyfire
+- mp_hostagepenalty
+- mp_limitteams
+- mp_logmessages
+- mp_mapvoteration
+- mp_roundtime
+- mp_timelimit
+- mp_tkpunish
+- msg
+- msgs
+- multitexture
+- name
+- net_graph
+- net_ip
+- net_messagetimeout
+- net_noudp
+- net_port
+- net_qport
+- net_restart
+- netdosexpire
+- netdosvalues
+- netgraph
+- nextdemo
+- nextmap
+- nextserver
+- noalttab
+- nobind
+- nocd
+- nocull
+- nodelta
+- noexit
+- nomonsters
+- norefresh
+- noreload
+- noskins
+- nosound
+- nosubimage
+- novis
+- palettedtexture
+- particle
+- particles
+- password
+- pausable
+- persecond
+- picmip
+- pitch
+- pitchsensitivity
+- pitchspeed
+- pitchthreshold
+- playermip
+- players
+- pointparameters
+- polyblend
+- polymodelstats
+- port
+- pr_checkextensions
+- pr_deadbeef_ents
+- pr_deadbeef_locals
+- pr_debug
+- pr_source_path
+- precache
+- predict
+- primary
+- printspeed
+- protocol
+- public
+- pushlatency
+- qport
+- r_aliastransadj
+- r_aliastransbase
+- r_allowExtensions
+- r_allowSoftwareGL
+- r_ambient
+- r_ambientScale
+- r_bonesDebug
+- r_cache
+- r_cacheModels
+- r_cacheShaders
+- r_clear
+- r_clearcolor
+- r_colorMipLevels
+- r_colorbits
+- r_compressModels
+- r_customaspect
+- r_customheight
+- r_customwidth
+- r_debugSort
+- r_debugSurface
+- r_debuglight
+- r_depthbits
+- r_detailtextures
+- r_directedScale
+- r_displayRefresh
+- r_dlightBacks
+- r_dlight_lightmap
+- r_dlight_max
+- r_drawBuffer
+- r_drawSun
+- r_drawentities
+- r_drawexplosions
+- r_drawflat
+- r_draworder
+- r_drawviewmodel
+- r_drawworld
+- r_dspeeds
+- r_dynamic
+- r_dynamiclight
+- r_explosionclip
+- r_exportCompressedModels
+- r_ext_compiled_vertex_array
+- r_ext_compress_textures
+- r_ext_compressed_textures
+- r_ext_gamma_control
+- r_ext_multitexture
+- r_ext_texture_env_add
+- r_facePlaneCull
+- r_fastsky
+- r_finish
+- r_firecolor
+- r_flareFade
+- r_flareSize
+- r_flares
+- r_fullbright
+- r_fullscreen
+- r_gamma
+- r_glDriver
+- r_glIgnoreWicked3D
+- r_graphheight
+- r_highQualit
+- r_highQualityVideo
+- r_ignore
+- r_ignoreFastPath
+- r_ignoreGLErrors
+- r_ignorehwgamma
+- r_inGameVideo
+- r_intensity
+- r_lastValidRenderer
+- r_lerpmodels
+- r_lightmap
+- r_lightmap_components
+- r_lockpvs
+- r_lodCurveError
+- r_lodbias
+- r_lodscale
+- r_logFile
+- r_lowMemTextureSize
+- r_lowMemTextureThreshold
+- r_mapOverBrightBits
+- r_maxedges
+- r_maxpolys
+- r_maxpolyverts
+- r_maxsurfs
+- r_measureOverdraw
+- r_mirroralpha
+- r_mode
+- r_netgraph
+- r_netgraph_alpha
+- r_nobind
+- r_nocull
+- r_nocurves
+- r_noportals
+- r_norefresh
+- r_novis
+- r_numedges
+- r_numsurfs
+- r_offsetfactor
+- r_offsetunits
+- r_overBrightBits
+- r_particles_max
+- r_particles_style
+- r_picmip
+- r_picmip2
+- r_polymodelstats
+- r_portalOnly
+- r_preloadTextures
+- r_previousglDriver
+- r_primitives
+- r_printShaders
+- r_railCoreWidth
+- r_railSegmentLength
+- r_railWidth
+- r_reportedgeout
+- r_reportsurfout
+- r_rmse
+- r_roundImagesDown
+- r_saveFontData
+- r_shadows
+- r_showImages
+- r_showSmp
+- r_showcluster
+- r_shownormals
+- r_showsky
+- r_showtris
+- r_simpleMipMaps
+- r_singleShader
+- r_skipBackEnd
+- r_skyname
+- r_smp
+- r_speeds
+- r_stencilbits
+- r_stereo
+- r_subdivisions
+- r_swapInterval
+- r_textureMode
+- r_texturebits
+- r_timegraph
+- r_uiFullScreen
+- r_verbose
+- r_vertexLight
+- r_wateralpha
+- r_waterwarp
+- r_wolffog
+- r_zfar
+- r_znear
+- rate
+- rcon
+- rconAddress
+- rconPassword
+- rcon_address
+- rcon_password
+- reconnect
+- ref
+- registered
+- reportedgeout
+- reportsurfout
+- roll
+- rollangle
+- rollspeed
+- round
+- run
+- run_pitch
+- run_roll
+- s_compression
+- s_defaultsound
+- s_doppler
+- s_initsound
+- s_khz
+- s_loadas8bit
+- s_mixPreStep
+- s_mixahead
+- s_musicvolume
+- s_mute
+- s_nocompressed
+- s_usingA3D
+- s_primary
+- s_separation
+- s_show
+- s_testsound
+- s_volume
+- s_wavonly
+- samelevel
+- saturatelighting
+- saved1
+- saved2
+- saved3
+- saved4
+- savedgamecfg
+- scr
+- scr_centertime
+- scr_consize
+- scr_conspeed
+- scr_drawall
+- scr_ofsx
+- scr_ofsy
+- scr_ofsz
+- scr_printspeed
+- scr_showpause
+- scr_showturtle
+- scratch1
+- scratch2
+- scratch3
+- scratch4
+- screenshot
+- select
+- sensitivity
+- separation
+- server1
+- server10
+- server11
+- server12
+- server13
+- server14
+- server15
+- server16
+- server2
+- server3
+- server4
+- server5
+- server6
+- server7
+- server8
+- server9
+- serverprofile
+- sex
+- shadows
+- show
+- showclamp
+- showdrop
+- showmiss
+- shownet
+- showpackets
+- showpause
+- showram
+- showtrace
+- showtris
+- showturtle
+- side
+- sidesensitivity
+- sidespeed
+- sidethreshold
+- size
+- skill
+- skin
+- skymip
+- snaps
+- snd_bits
+- snd_device
+- snd_interp
+- snd_loadas8bit
+- snd_mixahead
+- snd_noextraupdate
+- snd_oss_mmaped
+- snd_output
+- snd_phasesep
+- snd_rate
+- snd_render
+- snd_show
+- snd_stereo
+- snd_volumesep
+- sndbits
+- sndchannels
+- snddevice
+- sndspeed
+- software
+- sounds
+- spectator
+- spectator_password
+- speeds
+- stats
+- stereo
+- stipplealpha
+- surfcacheoverride
+- sv
+- sv_accelerate
+- sv_aim
+- sv_airaccelerate
+- sv_allowAnonymous
+- sv_allowDownload
+- sv_cheats
+- sv_enforcetime
+- sv_floodProtect
+- sv_fps
+- sv_friction
+- sv_gravity
+- sv_hostname
+- sv_idealpitchscale
+- sv_keywords
+- sv_killserver
+- sv_mapChecksum
+- sv_master1
+- sv_master2
+- sv_master3
+- sv_master4
+- sv_master5
+- sv_maxPing
+- sv_maxRate
+- sv_maxclients
+- sv_maxrate
+- sv_maxspeed
+- sv_maxtic
+- sv_maxvelocity
+- sv_minPing
+- sv_minqfversion
+- sv_mintic
+- sv_netdosprotect
+- sv_noreload
+- sv_nostep
+- sv_onlyVisibleClients
+- sv_padPackets
+- sv_pakNames
+- sv_paks
+- sv_paused
+- sv_phs
+- sv_privateClients
+- sv_privatePassword
+- sv_progs
+- sv_pure
+- sv_reconnect_limit
+- sv_reconnectlimit
+- sv_referencedPakNames
+- sv_referencedPaks
+- sv_restartround
+- sv_rollangle
+- sv_rollspeed
+- sv_running
+- sv_serverid
+- sv_showAverageBPS
+- sv_showloss
+- sv_spectalk
+- sv_stopspeed
+- sv_timefmt
+- sv_timekick
+- sv_timekick_fuzz
+- sv_timekick_interval
+- sv_timeout
+- sv_timestamps
+- sv_wateraccelerate
+- sv_waterfriction
+- sv_zombietime
+- sw
+- sw_allow_modex
+- sw_clearcolor
+- sw_drawflat
+- sw_draworder
+- sw_maxedges
+- sw_maxsurfs
+- sw_mipcap
+- sw_mipscale
+- sw_mode
+- sw_polymodelstats
+- sw_reportedgeout
+- sw_reportsurfout
+- sw_stipplealpha
+- sw_surfcacheoverride
+- sw_waterwarp
+- swapinterval
+- sys_cpustring
+- sys_nostdout
+- sys_sleep
+- sys_ticrate
+- team
+- team_headmodel
+- team_model
+- teamplay
+- teamtask
+- temp1
+- testblend
+- testentities
+- testlights
+- testparticles
+- testsound
+- texturealphamode
+- texturemode
+- texturesolidmode
+- timedemo
+- timegraph
+- timelimit
+- timeout
+- timescale
+- topcolor
+- triplebuffer
+- ttycon
+- ui_Q3Model
+- ui_actualNetGametype
+- ui_bigFont
+- ui_browserGameType
+- ui_browserMaster
+- ui_browserShowEmpty
+- ui_browserShowFriendlyFire
+- ui_browserShowFull
+- ui_browserShowMaxlives
+- ui_browserShowTourney
+- ui_browserSortKey
+- ui_cdkeychecked
+- ui_class
+- ui_cmd
+- ui_ctf_capturelimit
+- ui_ctf_friendly
+- ui_ctf_timelimit
+- ui_currentMap
+- ui_currentNetMap
+- ui_dedicated
+- ui_ffa_fraglimit
+- ui_ffa_timelimit
+- ui_gametype
+- ui_glCustom
+- ui_isSpectator
+- ui_joinGametype
+- ui_limboMode
+- ui_limboObjective
+- ui_limboOptions
+- ui_limboPrevOptions
+- ui_mapIndex
+- ui_master
+- ui_menuFiles
+- ui_mousePitch
+- ui_netGametype
+- ui_netSource
+- ui_notebookCurrentPage
+- ui_objective
+- ui_prevClass
+- ui_prevTeam
+- ui_prevWeapon
+- ui_serverStatusTimeOut
+- ui_singlePlayerActive
+- ui_smallFont
+- ui_spSelection
+- ui_team
+- ui_teamArenaFirstRun
+- ui_team_fraglimit
+- ui_team_friendly
+- ui_team_timelimit
+- ui_tourney_fraglimit
+- ui_tourney_timelimit
+- ui_userAlliedRespawnTime
+- ui_userAxisRespawnTime
+- ui_userTimeLimit
+- ui_weapon
+- up
+- upsensitivity
+- upspeed
+- upthreshold
+- username
+- v_centermove
+- v_centerspeed
+- v_idlescale
+- v_ipitch_cycle
+- v_ipitch_level
+- v_iroll_cycle
+- v_iroll_level
+- v_iuaw_cycle
+- v_iyaw_cycle
+- v_iyaw_level
+- v_kickpitch
+- v_kickroll
+- v_kicktime
+- version
+- vertex
+- vid
+- vid_config_x
+- vid_config_y
+- vid_fullscreen
+- vid_fullscreen_mode
+- vid_gamma
+- vid_height
+- vid_mode
+- vid_nopageflip
+- vid_ref
+- vid_system_gamma
+- vid_use8bit
+- vid_wait
+- vid_width
+- vid_window_x
+- vid_window_y
+- vid_windowed_mode
+- vid_xpos
+- vid_ypos
+- viewlog
+- viewsize
+- vm_cgame
+- vm_game
+- vm_ui
+- volume
+- vwep
+- waitdelay
+- waterwarp
+- wavonly
+- win
+- win_noalttab
+- win_hinstance
+- win_wndproc
+- xpos
+- yaw
+- yawsensitivity
+- yawspeed
+- yawthreshold
+- ypos
+- zombietime
+- ztrick
-- +attack
-- +ttack2
-- +alt1
-- +activate
-- +back
-- +break
-- +button0
-- +button1
-- +button10
-- +button11
-- +button12
-- +button13
-- +button14
-- +button2
-- +button3
-- +button4
-- +button5
-- +button6
-- +button7
-- +button8
-- +button9
-- +camdistance
-- +camin
-- +cammousemove
-- +camout
-- +campitchdown
-- +campitchup
-- +camyawleft
-- +camyawright
-- +commandmenu
-- +dropweapon
-- +duck
-- +forward
-- +graph
-- +jlook
-- +jump
-- +kick
-- +klook
-- +leanleft
-- +leanright
-- +left
-- +lookdown
-- +lookup
-- +mlook
-- +movedown
-- +moveleft
-- +moveright
-- +moveup
-- +nvgadjust
-- +quickgren
-- +reload
-- +right
-- +salute
-- +score
-- +showscores
-- +speed
-- +sprint
-- +strafe
-- +use
-- +useitem
-- +voicerecord
-- +wbutton7
-- +zoom
-- -activate
-- -alt1
-- -attack
-- -attack2
-- -back
-- -break
-- -button0
-- -button1
-- -button10
-- -button11
-- -button12
-- -button13
-- -button14
-- -button2
-- -button3
-- -button4
-- -button5
-- -button6
-- -button7
-- -button8
-- -button9
-- -camdistance
-- -camin
-- -cammousemove
-- -camout
-- -campitchdown
-- -campitchup
-- -camyawleft
-- -camyawright
-- -commandmenu
-- -dropweapon
-- -duck
-- -forward
-- -graph
-- -jlook
-- -jump
-- -kick
-- -klook
-- -leanleft
-- -leanright
-- -left
-- -lookdown
-- -lookup
-- -mlook
-- -movedown
-- -moveleft
-- -moveright
-- -moveup
-- -nvgadjust
-- -quickgren
-- -reload
-- -right
-- -salute
-- -score
-- -showscores
-- -speed
-- -sprint
-- -strafe
-- -use
-- -useitem
-- -voicerecord
-- -wbutton7
-- -zoom
+- +attack
+- +ttack2
+- +alt1
+- +activate
+- +back
+- +break
+- +button0
+- +button1
+- +button10
+- +button11
+- +button12
+- +button13
+- +button14
+- +button2
+- +button3
+- +button4
+- +button5
+- +button6
+- +button7
+- +button8
+- +button9
+- +camdistance
+- +camin
+- +cammousemove
+- +camout
+- +campitchdown
+- +campitchup
+- +camyawleft
+- +camyawright
+- +commandmenu
+- +dropweapon
+- +duck
+- +forward
+- +graph
+- +jlook
+- +jump
+- +kick
+- +klook
+- +leanleft
+- +leanright
+- +left
+- +lookdown
+- +lookup
+- +mlook
+- +movedown
+- +moveleft
+- +moveright
+- +moveup
+- +nvgadjust
+- +quickgren
+- +reload
+- +right
+- +salute
+- +score
+- +showscores
+- +speed
+- +sprint
+- +strafe
+- +use
+- +useitem
+- +voicerecord
+- +wbutton7
+- +zoom
+- -activate
+- -alt1
+- -attack
+- -attack2
+- -back
+- -break
+- -button0
+- -button1
+- -button10
+- -button11
+- -button12
+- -button13
+- -button14
+- -button2
+- -button3
+- -button4
+- -button5
+- -button6
+- -button7
+- -button8
+- -button9
+- -camdistance
+- -camin
+- -cammousemove
+- -camout
+- -campitchdown
+- -campitchup
+- -camyawleft
+- -camyawright
+- -commandmenu
+- -dropweapon
+- -duck
+- -forward
+- -graph
+- -jlook
+- -jump
+- -kick
+- -klook
+- -leanleft
+- -leanright
+- -left
+- -lookdown
+- -lookup
+- -mlook
+- -movedown
+- -moveleft
+- -moveright
+- -moveup
+- -nvgadjust
+- -quickgren
+- -reload
+- -right
+- -salute
+- -score
+- -showscores
+- -speed
+- -sprint
+- -strafe
+- -use
+- -useitem
+- -voicerecord
+- -wbutton7
+- -zoom
-- *
-- [
-- ]
-- \
-- /
-- '
-- =
-- -
-- +
-- ,
-- .
-- `
-- ~
-- 1
-- 2
-- 3
-- 4
-- 5
-- 6
-- 7
-- 8
-- 9
-- 0
-- a
-- b
-- c
-- d
-- e
-- f
-- g
-- h
-- i
-- j
-- k
-- l
-- m
-- n
-- o
-- p
-- q
-- r
-- s
-- t
-- u
-- v
-- x
-- w
-- y
-- z
-- ALT
-- AUX1
-- AUX10
-- AUX11
-- AUX12
-- AUX13
-- AUX14
-- AUX15
-- AUX16
-- AUX17
-- AUX18
-- AUX2
-- AUX20
-- AUX21
-- AUX22
-- AUX23
-- AUX24
-- AUX25
-- AUX26
-- AUX27
-- AUX28
-- AUX29
-- AUX3
-- AUX30
-- AUX31
-- AUX32
-- AUX4
-- AUX5
-- AUX6
-- AUX7
-- AUX8
-- AUX9
-- BACKSPACE
-- CTRL
-- DEL
-- DOWNARROW
-- END
-- ENTER
-- ESCAPE
-- F1
-- F10
-- F11
-- F12
-- F2
-- F3
-- F4
-- F5
-- F6
-- F7
-- F8
-- F9
-- HOME
-- INS
-- JOY1
-- JOY2
-- JOY3
-- JOY4
-- KP_SLASH
-- KP_5
-- KP_UPARROW
-- KP_LEFTARROW
-- KP_RIGHTARROW
-- KP_DOWNARROW
-- KP_HOME
-- KP_END
-- KP_PGUP
-- KP_PGDN
-- KP_INS
-- KP_DEL
-- LEFTARROW
-- MOUSE1
-- MOUSE2
-- MOUSE3
-- MWHEELDOWN
-- MWHEELUP
-- PAUSE
-- PGDN
-- PGUP
-- RIGHTARROW
-- SEMICOLON
-- CAPSLOCK
-- SHIFT
-- SPACE
-- TAB
-- UPARROW
+- *
+- [
+- ]
+- \
+- /
+- '
+- =
+- -
+- +
+- ,
+- .
+- `
+- ~
+- 1
+- 2
+- 3
+- 4
+- 5
+- 6
+- 7
+- 8
+- 9
+- 0
+- a
+- b
+- c
+- d
+- e
+- f
+- g
+- h
+- i
+- j
+- k
+- l
+- m
+- n
+- o
+- p
+- q
+- r
+- s
+- t
+- u
+- v
+- x
+- w
+- y
+- z
+- ALT
+- AUX1
+- AUX10
+- AUX11
+- AUX12
+- AUX13
+- AUX14
+- AUX15
+- AUX16
+- AUX17
+- AUX18
+- AUX2
+- AUX20
+- AUX21
+- AUX22
+- AUX23
+- AUX24
+- AUX25
+- AUX26
+- AUX27
+- AUX28
+- AUX29
+- AUX3
+- AUX30
+- AUX31
+- AUX32
+- AUX4
+- AUX5
+- AUX6
+- AUX7
+- AUX8
+- AUX9
+- BACKSPACE
+- CTRL
+- DEL
+- DOWNARROW
+- END
+- ENTER
+- ESCAPE
+- F1
+- F10
+- F11
+- F12
+- F2
+- F3
+- F4
+- F5
+- F6
+- F7
+- F8
+- F9
+- HOME
+- INS
+- JOY1
+- JOY2
+- JOY3
+- JOY4
+- KP_SLASH
+- KP_5
+- KP_UPARROW
+- KP_LEFTARROW
+- KP_RIGHTARROW
+- KP_DOWNARROW
+- KP_HOME
+- KP_END
+- KP_PGUP
+- KP_PGDN
+- KP_INS
+- KP_DEL
+- LEFTARROW
+- MOUSE1
+- MOUSE2
+- MOUSE3
+- MWHEELDOWN
+- MWHEELUP
+- PAUSE
+- PGDN
+- PGUP
+- RIGHTARROW
+- SEMICOLON
+- CAPSLOCK
+- SHIFT
+- SPACE
+- TAB
+- UPARROW
- - ;
- - $
+ - ;
+ - $
- - bind
- - unbind
+ - bind
+ - unbind
- - set
- - seta
- - setu
- - sets
+ - set
+ - seta
+ - setu
+ - sets
- - echo
- - say_team
- - say
+ - echo
+ - say_team
+ - say
diff --git a/kate/data/idl.xml b/kate/data/idl.xml
index 0e9fa811f..a8a2787dd 100644
--- a/kate/data/idl.xml
+++ b/kate/data/idl.xml
@@ -1,48 +1,48 @@
-
+
- - any
- - attribute
- - case
- - const
- - context
- - default
- - enum
- - exception
- - FALSE
- - fixed
- - public
- - in
- - inout
- - interface
- - module
- - Object
- - oneway
- - out
- - raises
- - readonly
- - sequence
- - struct
- - switch
- - TRUE
- - typedef
- - unsigned
- - union
+ - any
+ - attribute
+ - case
+ - const
+ - context
+ - default
+ - enum
+ - exception
+ - FALSE
+ - fixed
+ - public
+ - in
+ - inout
+ - interface
+ - module
+ - Object
+ - oneway
+ - out
+ - raises
+ - readonly
+ - sequence
+ - struct
+ - switch
+ - TRUE
+ - typedef
+ - unsigned
+ - union
- - boolean
- - char
- - double
- - float
- - long
- - octet
- - short
- - string
- - void
- - wchar
- - wstring
+ - boolean
+ - char
+ - double
+ - float
+ - long
+ - octet
+ - short
+ - string
+ - void
+ - wchar
+ - wstring
@@ -92,10 +92,8 @@
-
-
diff --git a/kate/data/ilerpg.xml b/kate/data/ilerpg.xml
index a6245bf21..4c75ae3e7 100644
--- a/kate/data/ilerpg.xml
+++ b/kate/data/ilerpg.xml
@@ -45,385 +45,373 @@
-->
-
+
- - EXTPROC
- - EXTPGM
- - OPDESC
- - DATFMT
- - DIM
- - LIKEDS
- - LIKEREC
- - LIKE
- - PROCPTR
- - TIMFMT
- - VARYING
- - ASCEND
- - CONST
- - NOOPT
- - OPTIONS
- - VALUE
- - QUALIFIED
- - INZ
- - BASED
-
-
- - DATFMT
- - DIM
- - LIKE
- - LIKEDS
- - LIKEREC
- - PROCPTR
- - TIMFMT
-
+ - EXTPROC
+ - EXTPGM
+ - OPDESC
+ - DATFMT
+ - DIM
+ - LIKEDS
+ - LIKEREC
+ - LIKE
+ - PROCPTR
+ - TIMFMT
+ - VARYING
+ - ASCEND
+ - CONST
+ - NOOPT
+ - OPTIONS
+ - VALUE
+ - QUALIFIED
+ - INZ
+ - BASED
+
- - FREE
- - END-FREE
- - TITLE
- - EJECT
- - SPACE
- - COPY
- - INCLUDE
- - DEFINE
- - UNDEFINE
- - IF
- - ELSE
- - EXEC
- - END-EXEC
- - ELSEIF
- - ENDIF
- - EOF
+ - FREE
+ - END-FREE
+ - TITLE
+ - EJECT
+ - SPACE
+ - COPY
+ - INCLUDE
+ - DEFINE
+ - UNDEFINE
+ - IF
+ - ELSE
+ - EXEC
+ - END-EXEC
+ - ELSEIF
+ - ENDIF
+ - EOF
- - NOT
- - DEFINED
+ - NOT
+ - DEFINED
- - DEFINED
+ - DEFINED
- - ABS
- - ADDR
- - ALLOC
- - BITAND
- - BITNOT
- - BITOR
- - BITXOR
- - CHAR
- - CHECK
- - CHECKR
- - DATE
- - DAYS
- - DEC
- - DECH
- - DECPOS
- - DIFF
- - DIV
- - EDITC
- - EDITFLT
- - EDITW
- - ELEM
- - EOF
- - EQUAL
- - ERROR
- - FIELDS
- - FLOAT
- - FOUND
- - GRAPH
- - HOURS
- - INT
- - INTH
- - KDS
- - LEN
- - LOOKUP
- - LOOKUPLT
- - LOOKUPLE
- - LOOKUPGT
- - LOOKUPGE
- - MINUTES
- - MONTHS
- - MSECONDS
- - NULLIND
- - OCCUR
- - OPEN
- - PADDR
- - PARMS
- - REALLOC
- - REM
- - REPLACE
- - SCAN
- - SECONDS
- - SHTDN
- - SIZE
- - SQRT
- - STATUS
- - STR
- - SUBARR
- - SUBDT
- - SUBST
- - THIS
- - TIME
- - TIMESTAMP
- - TLOOKUP
- - TLOOKUPLT
- - TLOOKUPLE
- - TLOOKUPGT
- - TLOOKUPGE
- - TRIM
- - TRIML
- - TRIMR
- - UCS2
- - UNS
- - UNSH
- - XFOOT
- - XLATE
- - YEARS
+ - ABS
+ - ADDR
+ - ALLOC
+ - BITAND
+ - BITNOT
+ - BITOR
+ - BITXOR
+ - CHAR
+ - CHECK
+ - CHECKR
+ - DATE
+ - DAYS
+ - DEC
+ - DECH
+ - DECPOS
+ - DIFF
+ - DIV
+ - EDITC
+ - EDITFLT
+ - EDITW
+ - ELEM
+ - EOF
+ - EQUAL
+ - ERROR
+ - FIELDS
+ - FLOAT
+ - FOUND
+ - GRAPH
+ - HOURS
+ - INT
+ - INTH
+ - KDS
+ - LEN
+ - LOOKUP
+ - LOOKUPLT
+ - LOOKUPLE
+ - LOOKUPGT
+ - LOOKUPGE
+ - MINUTES
+ - MONTHS
+ - MSECONDS
+ - NULLIND
+ - OCCUR
+ - OPEN
+ - PADDR
+ - PARMS
+ - REALLOC
+ - REM
+ - REPLACE
+ - SCAN
+ - SECONDS
+ - SHTDN
+ - SIZE
+ - SQRT
+ - STATUS
+ - STR
+ - SUBARR
+ - SUBDT
+ - SUBST
+ - THIS
+ - TIME
+ - TIMESTAMP
+ - TLOOKUP
+ - TLOOKUPLT
+ - TLOOKUPLE
+ - TLOOKUPGT
+ - TLOOKUPGE
+ - TRIM
+ - TRIML
+ - TRIMR
+ - UCS2
+ - UNS
+ - UNSH
+ - XFOOT
+ - XLATE
+ - YEARS
- - IF
+ - IF
- - DOW
- - DOU
- - FOR
+ - DOW
+ - DOU
+ - FOR
- - WHEN
- - EVAL
+ - WHEN
+ - EVAL
- - EVALR
+ - EVALR
- - RETURN
-
-
- - ON-ERROR
+ - RETURN
-
- - DO
- - IN
- - OR
+
+ - DO
+ - IN
+ - OR
- - ACQ
- - ADD
- - AND
- - CAB
- - CAS
- - CAT
- - DIV
- - DOU
- - END
- - MVR
- - OUT
- - REL
- - SUB
- - TAG
+ - ACQ
+ - ADD
+ - AND
+ - CAB
+ - CAS
+ - CAT
+ - DIV
+ - DOU
+ - END
+ - MVR
+ - OUT
+ - REL
+ - SUB
+ - TAG
- - CALL
- - COMP
- - DUMP
- - ELSE
- - EXSR
- - FEOD
- - GOTO
- - IFGT
- - IFLT
- - IFEQ
- - IFNE
- - IFGE
- - IFLE
- - ITER
- - KFLD
- - MOVE
- - MULT
- - NEXT
- - OPEN
- - ORGT
- - ORLT
- - OREQ
- - ORNE
- - ORGE
- - ORLE
- - PARM
- - POST
- - READ
- - SCAN
- - SQRT
- - TEST
- - TIME
+ - CALL
+ - COMP
+ - DUMP
+ - ELSE
+ - EXSR
+ - FEOD
+ - GOTO
+ - IFGT
+ - IFLT
+ - IFEQ
+ - IFNE
+ - IFGE
+ - IFLE
+ - ITER
+ - KFLD
+ - MOVE
+ - MULT
+ - NEXT
+ - OPEN
+ - ORGT
+ - ORLT
+ - OREQ
+ - ORNE
+ - ORGE
+ - ORLE
+ - PARM
+ - POST
+ - READ
+ - SCAN
+ - SQRT
+ - TEST
+ - TIME
- - ALLOC
- - ANDGT
- - ANDLT
- - ANDEQ
- - ANDNE
- - ANDGE
- - ANDLE
- - BEGSR
- - BITON
- - CABGT
- - CABLT
- - CABEQ
- - CABNE
- - CABGE
- - CABLE
- - CALLB
- - CALLP
- - CASGT
- - CASLT
- - CASEQ
- - CASNE
- - CASGE
- - CASLE
- - CHAIN
- - CHECK
- - CLEAR
- - CLOSE
- - CHECK
- - CLEAR
- - CLOSE
- - DOWGT
- - DOWLT
- - DOWEQ
- - DOWNE
- - DOWGE
- - DOWLE
- - DOUGT
- - DOULT
- - DOUEQ
- - DOUNE
- - DOUGE
- - DOULE
- - DSPLY
- - ENDCS
- - ENDDO
- - ENDIF
- - ENDSL
- - ENDSR
- - EXFMT
- - FORCE
- - KLIST
- - LEAVE
- - MHHZO
- - MHLZO
- - MLHZO
- - MLLZO
- - MOVEA
- - MOVEL
- - OCCUR
- - OTHER
- - PLIST
- - READC
- - READE
- - READP
- - RESET
- - ROLBK
- - SETGT
- - SETLL
- - SETON
- - SHTDN
- - SORTA
- - SUBST
- - TESTB
- - TESTN
- - TESTZ
- - WRITE
- - XFOOT
- - XLATE
+ - ALLOC
+ - ANDGT
+ - ANDLT
+ - ANDEQ
+ - ANDNE
+ - ANDGE
+ - ANDLE
+ - BEGSR
+ - BITON
+ - CABGT
+ - CABLT
+ - CABEQ
+ - CABNE
+ - CABGE
+ - CABLE
+ - CALLB
+ - CALLP
+ - CASGT
+ - CASLT
+ - CASEQ
+ - CASNE
+ - CASGE
+ - CASLE
+ - CHAIN
+ - CHECK
+ - CLEAR
+ - CLOSE
+ - CHECK
+ - CLEAR
+ - CLOSE
+ - DOWGT
+ - DOWLT
+ - DOWEQ
+ - DOWNE
+ - DOWGE
+ - DOWLE
+ - DOUGT
+ - DOULT
+ - DOUEQ
+ - DOUNE
+ - DOUGE
+ - DOULE
+ - DSPLY
+ - ENDCS
+ - ENDDO
+ - ENDIF
+ - ENDSL
+ - ENDSR
+ - EXFMT
+ - FORCE
+ - KLIST
+ - LEAVE
+ - MHHZO
+ - MHLZO
+ - MLHZO
+ - MLLZO
+ - MOVEA
+ - MOVEL
+ - OCCUR
+ - OTHER
+ - PLIST
+ - READC
+ - READE
+ - READP
+ - RESET
+ - ROLBK
+ - SETGT
+ - SETLL
+ - SETON
+ - SHTDN
+ - SORTA
+ - SUBST
+ - TESTB
+ - TESTN
+ - TESTZ
+ - WRITE
+ - XFOOT
+ - XLATE
- - ADDDUR
- - BITOFF
- - CHECKR
- - COMMIT
- - DEFINE
- - DELETE
- - EXCEPT
- - EXTRCT
- - LOOKUP
- - READPE
- - RETURN
- - SELECT
- - SETOFF
- - SUBDUR
- - UNLOCK
- - UPDATE
- - WHENGT
- - WHENLT
- - WHENEQ
- - WHENNR
- - WHENGE
- - WHENLE
+ - ADDDUR
+ - BITOFF
+ - CHECKR
+ - COMMIT
+ - DEFINE
+ - DELETE
+ - EXCEPT
+ - EXTRCT
+ - LOOKUP
+ - READPE
+ - RETURN
+ - SELECT
+ - SETOFF
+ - SUBDUR
+ - UNLOCK
+ - UPDATE
+ - WHENGT
+ - WHENLT
+ - WHENEQ
+ - WHENNR
+ - WHENGE
+ - WHENLE
- - DEALLOC
- - REALLOC
+ - DEALLOC
+ - REALLOC
- - ACQ
- - BEGSR
- - CALLP
- - CHAIN
- - CLEAR
- - CLOSE
- - COMMIT
- - DEALLOC
- - DELETE
- - DOU
- - DOW
- - DSPLY
- - DUMP
- - ELSE
- - ELSEIF
- - ENDDO
- - ENDFOR
- - ENDIF
- - ENDMON
- - ENDSL
- - ENDSR
- - EVAL
- - EVALR
- - EXCEPT
- - EXFMT
- - EXSR
- - FEOD
- - FOR
- - FORCE
- - IF
- - IN
- - ITER
- - LEAVE
- - LEAVESR
- - MONITOR
- - NEXT
- - ON-ERROR
- - OPEN
- - OTHER
- - OUT
- - POST
- - READ
- - READC
- - READE
- - READP
- - READPE
- - REL
- - RESET
- - RETURN
- - ROLBK
- - SELECT
- - SETGT
- - SETLL
- - SORTA
- - TEST
- - UNLOCK
- - UPDATE
- - WHEN
- - WRITE
+ - ACQ
+ - BEGSR
+ - CALLP
+ - CHAIN
+ - CLEAR
+ - CLOSE
+ - COMMIT
+ - DEALLOC
+ - DELETE
+ - DOU
+ - DOW
+ - DSPLY
+ - DUMP
+ - ELSE
+ - ELSEIF
+ - ENDDO
+ - ENDFOR
+ - ENDIF
+ - ENDMON
+ - ENDSL
+ - ENDSR
+ - EVAL
+ - EVALR
+ - EXCEPT
+ - EXFMT
+ - EXSR
+ - FEOD
+ - FOR
+ - FORCE
+ - IF
+ - IN
+ - ITER
+ - LEAVE
+ - LEAVESR
+ - MONITOR
+ - NEXT
+ - ON-ERROR
+ - OPEN
+ - OTHER
+ - OUT
+ - POST
+ - READ
+ - READC
+ - READE
+ - READP
+ - READPE
+ - REL
+ - RESET
+ - RETURN
+ - ROLBK
+ - SELECT
+ - SETGT
+ - SETLL
+ - SORTA
+ - TEST
+ - UNLOCK
+ - UPDATE
+ - WHEN
+ - WRITE
@@ -541,12 +529,6 @@
-
-
-
-
-
-
@@ -598,16 +580,18 @@
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
@@ -697,21 +681,13 @@
-
-
-
-
-
-
-
-
diff --git a/kate/data/inform.xml b/kate/data/inform.xml
index ce0028241..8bc04a203 100644
--- a/kate/data/inform.xml
+++ b/kate/data/inform.xml
@@ -5,274 +5,274 @@
- - if
- - for
- - else
- - box
- - break
- - continue
- - do
- - until
- - font
- - give
- - inversion
- - jump
- - on
- - to
- - move
- - new_line
- - objectloop
- - print
- - print_ret
- - quit
- - read
- - remove
- - restore
- - return
- - rtrue
- - rfalse
- - save
- - spaces
- - spring
- - style
- - switch
+ - if
+ - for
+ - else
+ - box
+ - break
+ - continue
+ - do
+ - until
+ - font
+ - give
+ - inversion
+ - jump
+ - on
+ - to
+ - move
+ - new_line
+ - objectloop
+ - print
+ - print_ret
+ - quit
+ - read
+ - remove
+ - restore
+ - return
+ - rtrue
+ - rfalse
+ - save
+ - spaces
+ - spring
+ - style
+ - switch
- - metaclass
- - parent
- - child
- - children
- - Achieved
- - AddToScope
- - allowpushdir
- - CDefArt
- - ChangeDefault
- - DefArt
- - DoMenu
- - EnglishNumber
- - HasLightSource
- - InDefArt
- - Locale
- - LoopOverScope
- - NextWord
- - NextWordStopped
- - NounDomain
- - ObjectIsUntouchable
- - OffersLight
- - PlaceInScope
- - PlayerTo
- - PrintShortName
- - ScopeWithin
- - SetTime
- - StartDaemon
- - StartTimer
- - StopDaemon
- - StopTimer
- - TestScope
- - TryNumber
- - UnsignedCompare
- - WordAddress
- - WordLenght
- - WriteListFrom
- - YesOrNo
- - ZRegion
+ - metaclass
+ - parent
+ - child
+ - children
+ - Achieved
+ - AddToScope
+ - allowpushdir
+ - CDefArt
+ - ChangeDefault
+ - DefArt
+ - DoMenu
+ - EnglishNumber
+ - HasLightSource
+ - InDefArt
+ - Locale
+ - LoopOverScope
+ - NextWord
+ - NextWordStopped
+ - NounDomain
+ - ObjectIsUntouchable
+ - OffersLight
+ - PlaceInScope
+ - PlayerTo
+ - PrintShortName
+ - ScopeWithin
+ - SetTime
+ - StartDaemon
+ - StartTimer
+ - StopDaemon
+ - StopTimer
+ - TestScope
+ - TryNumber
+ - UnsignedCompare
+ - WordAddress
+ - WordLenght
+ - WriteListFrom
+ - YesOrNo
+ - ZRegion
- - Pronouns
- - Quit
- - Restore
- - Save
- - Verify
- - Restart
- - ScriptOn
- - ScriptOff
- - NotifyOn
- - NotifyOff
- - Places
- - Objects
- - Score
- - FullScore
- - Version
- - LMode1
- - LMode2
- - LMode3
- - Inv
+ - Pronouns
+ - Quit
+ - Restore
+ - Save
+ - Verify
+ - Restart
+ - ScriptOn
+ - ScriptOff
+ - NotifyOn
+ - NotifyOff
+ - Places
+ - Objects
+ - Score
+ - FullScore
+ - Version
+ - LMode1
+ - LMode2
+ - LMode3
+ - Inv
- - Inv
- - InvTall
- - InvWide
- - Take
- - Drop
- - Empty
- - Enter
- - Exit
- - GetOff
- - Go
- - GoIn
- - Look
- - Examine
- - Search
- - Give
- - Show
- - Unlock
- - Lock
- - SwitchOn
- - SwitchOff
- - Open
- - Close
- - Disrobe
- - Wear
- - Eat
+ - Inv
+ - InvTall
+ - InvWide
+ - Take
+ - Drop
+ - Empty
+ - Enter
+ - Exit
+ - GetOff
+ - Go
+ - GoIn
+ - Look
+ - Examine
+ - Search
+ - Give
+ - Show
+ - Unlock
+ - Lock
+ - SwitchOn
+ - SwitchOff
+ - Open
+ - Close
+ - Disrobe
+ - Wear
+ - Eat
- - LetGo
- - Receive
- - Insert
- - PutOn
- - Transfer
- - Empty
- - EmptyT
- - GetOff
- - GoIn
- - Listen
- - Taste
- - Touch
+ - LetGo
+ - Receive
+ - Insert
+ - PutOn
+ - Transfer
+ - Empty
+ - EmptyT
+ - GetOff
+ - GoIn
+ - Listen
+ - Taste
+ - Touch
- - Pull
- - Push
- - Wave
- - Turn
- - PushDir
- - ThrowAt
- - ThrownAt
- - JumpOn
- - Drink
- - Attack
- - Tie
- - Fill
- - Swing
- - Blow
- - Rub
- - Set
- - SetTo
- - Buy
- - Climb
- - Squeeze
- - Climb
- - Burn
- - Cut
- - Dig
+ - Pull
+ - Push
+ - Wave
+ - Turn
+ - PushDir
+ - ThrowAt
+ - ThrownAt
+ - JumpOn
+ - Drink
+ - Attack
+ - Tie
+ - Fill
+ - Swing
+ - Blow
+ - Rub
+ - Set
+ - SetTo
+ - Buy
+ - Climb
+ - Squeeze
+ - Climb
+ - Burn
+ - Cut
+ - Dig
- - Consult
- - Tell
- - Answer
- - Ask
- - AskFor
- - Kiss
+ - Consult
+ - Tell
+ - Answer
+ - Ask
+ - AskFor
+ - Kiss
- - Sleep
- - Sing
- - WaveHands
- - Swim
- - Sorry
- - Sing
- - Strong
- - Mild
- - Smell
- - Pray
- - Jump
- - Think
- - VagueGo
- - Yes
- - No
- - Sing
+ - Sleep
+ - Sing
+ - WaveHands
+ - Swim
+ - Sorry
+ - Sing
+ - Strong
+ - Mild
+ - Smell
+ - Pray
+ - Jump
+ - Think
+ - VagueGo
+ - Yes
+ - No
+ - Sing
- - String
- - Routine
- - bold
- - roman
- - underline
- - fixed
- - nothing
- - true
- - false
- - on
- - off
- - sender
- - self
- - location
- - score
- - action
- - actor
- - noun
- - second
- - the_time
- - consult_from
- - consult_words
- - wn
- - actors_location
- - buffer
- - player
+ - String
+ - Routine
+ - bold
+ - roman
+ - underline
+ - fixed
+ - nothing
+ - true
+ - false
+ - on
+ - off
+ - sender
+ - self
+ - location
+ - score
+ - action
+ - actor
+ - noun
+ - second
+ - the_time
+ - consult_from
+ - consult_words
+ - wn
+ - actors_location
+ - buffer
+ - player
- - Ifdef
- - Ifndef
- - Iftrue
- - Iffalse
- - Ifnot
- - Endif
- - End
- - Abbreviate
- - Array
- - Attribute
- - Constant
- - Default
- - Extend
- - Global
- - Ifnot
- - Iftrue
- - Iffalse
- - Import
- - Include
- - Link
- - Lowstring
- - Message
- - Property
- - Release
- - Replace
- - Serial
- - Switches
- - Statusline
- - score
- - System_file
- - Verb
+ - Ifdef
+ - Ifndef
+ - Iftrue
+ - Iffalse
+ - Ifnot
+ - Endif
+ - End
+ - Abbreviate
+ - Array
+ - Attribute
+ - Constant
+ - Default
+ - Extend
+ - Global
+ - Ifnot
+ - Iftrue
+ - Iffalse
+ - Import
+ - Include
+ - Link
+ - Lowstring
+ - Message
+ - Property
+ - Release
+ - Replace
+ - Serial
+ - Switches
+ - Statusline
+ - score
+ - System_file
+ - Verb
- - #ifdef
- - #else
- - #ifndef
- - #endif
+ - #ifdef
+ - #else
+ - #ifndef
+ - #endif
- - has
- - hasn't
- - in
- - notin
- - provides
- - ofclass
- - or
+ - has
+ - hasn't
+ - in
+ - notin
+ - provides
+ - ofclass
+ - or
- - with
- - private
- - has
+ - with
+ - private
+ - has
diff --git a/kate/data/ini.xml b/kate/data/ini.xml
index 4c08d8704..465422f0b 100644
--- a/kate/data/ini.xml
+++ b/kate/data/ini.xml
@@ -1,6 +1,6 @@
-
+
@@ -44,8 +44,6 @@
-
-
diff --git a/kate/data/jam.xml b/kate/data/jam.xml
index 6d71eed48..0d8e5201d 100644
--- a/kate/data/jam.xml
+++ b/kate/data/jam.xml
@@ -21,7 +21,7 @@ LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
IN THE SOFTWARE.
-->
-
+
- - actions
- - break
- - continue
- - for
- - in
- - if
- - else
- - include
- - local
- - on
- - return
- - rule
- - switch
- - case
- - while
+ - actions
+ - break
+ - continue
+ - for
+ - in
+ - if
+ - else
+ - include
+ - local
+ - on
+ - return
+ - rule
+ - switch
+ - case
+ - while
- - local
+ - local
- - existing
- - ignore
- - piecemeal
- - quietly
- - together
- - updated
+ - existing
+ - ignore
+ - piecemeal
+ - quietly
+ - together
+ - updated
- - bind
+ - bind
- - :
- - ;
- - [
- - ]
- - (
- - )
- - {
- - }
+ - :
+ - ;
+ - [
+ - ]
+ - (
+ - )
+ - {
+ - }
@@ -220,13 +220,6 @@ IN THE SOFTWARE.
-
-
-
-
-
-
-
@@ -344,4 +337,4 @@ IN THE SOFTWARE.
-
\ No newline at end of file
+
diff --git a/kate/data/json.xml b/kate/data/json.xml
index a187fe8f3..28ad8e8a3 100644
--- a/kate/data/json.xml
+++ b/kate/data/json.xml
@@ -12,7 +12,7 @@
** http://tools.ietf.org/html/rfc4627
***************************************************************************
-->
-
+
- null
diff --git a/kate/data/jsp.xml b/kate/data/jsp.xml
index 26b9b46e6..90614ac21 100644
--- a/kate/data/jsp.xml
+++ b/kate/data/jsp.xml
@@ -1,2809 +1,2809 @@
-
+
- - ARG_IN
- - ARG_INOUT
- - ARG_OUT
- - AWTError
- - AWTEvent
- - AWTEventListener
- - AWTEventListenerProxy
- - AWTEventMulticaster
- - AWTException
- - AWTKeyStroke
- - AWTPermission
- - AbstractAction
- - AbstractBorder
- - AbstractButton
- - AbstractCellEditor
- - AbstractCollection
- - AbstractColorChooserPanel
- - AbstractDocument
- - AbstractFormatter
- - AbstractFormatterFactory
- - AbstractInterruptibleChannel
- - AbstractLayoutCache
- - AbstractList
- - AbstractListModel
- - AbstractMap
- - AbstractMethodError
- - AbstractPreferences
- - AbstractSelectableChannel
- - AbstractSelectionKey
- - AbstractSelector
- - AbstractSequentialList
- - AbstractSet
- - AbstractSpinnerModel
- - AbstractTableModel
- - AbstractUndoableEdit
- - AbstractWriter
- - AccessControlContext
- - AccessControlException
- - AccessController
- - AccessException
- - Accessible
- - AccessibleAction
- - AccessibleBundle
- - AccessibleComponent
- - AccessibleContext
- - AccessibleEditableText
- - AccessibleExtendedComponent
- - AccessibleExtendedTable
- - AccessibleHyperlink
- - AccessibleHypertext
- - AccessibleIcon
- - AccessibleKeyBinding
- - AccessibleObject
- - AccessibleRelation
- - AccessibleRelationSet
- - AccessibleResourceBundle
- - AccessibleRole
- - AccessibleSelection
- - AccessibleState
- - AccessibleStateSet
- - AccessibleTable
- - AccessibleTableModelChange
- - AccessibleText
- - AccessibleValue
- - AccountExpiredException
- - Acl
- - AclEntry
- - AclNotFoundException
- - Action
- - ActionEvent
- - ActionListener
- - ActionMap
- - ActionMapUIResource
- - Activatable
- - ActivateFailedException
- - ActivationDesc
- - ActivationException
- - ActivationGroup
- - ActivationGroupDesc
- - ActivationGroupID
- - ActivationGroup_Stub
- - ActivationID
- - ActivationInstantiator
- - ActivationMonitor
- - ActivationSystem
- - Activator
- - ActiveEvent
- - ActiveValue
- - AdapterActivator
- - AdapterActivatorOperations
- - AdapterAlreadyExists
- - AdapterAlreadyExistsHelper
- - AdapterInactive
- - AdapterInactiveHelper
- - AdapterNonExistent
- - AdapterNonExistentHelper
- - AddressHelper
- - Adjustable
- - AdjustmentEvent
- - AdjustmentListener
- - Adler32
- - AffineTransform
- - AffineTransformOp
- - AlgorithmParameterGenerator
- - AlgorithmParameterGeneratorSpi
- - AlgorithmParameterSpec
- - AlgorithmParameters
- - AlgorithmParametersSpi
- - AlignmentAction
- - AllPermission
- - AlphaComposite
- - AlreadyBound
- - AlreadyBoundException
- - AlreadyBoundHelper
- - AlreadyBoundHolder
- - AlreadyConnectedException
- - AncestorEvent
- - AncestorListener
- - Annotation
- - Any
- - AnyHolder
- - AnySeqHelper
- - AnySeqHelper
- - AnySeqHolder
- - AppConfigurationEntry
- - Applet
- - AppletContext
- - AppletInitializer
- - AppletStub
- - ApplicationException
- - Arc2D
- - Area
- - AreaAveragingScaleFilter
- - ArithmeticException
- - Array
- - Array
- - ArrayIndexOutOfBoundsException
- - ArrayList
- - ArrayStoreException
- - Arrays
- - AssertionError
- - AsyncBoxView
- - AsynchronousCloseException
- - Attr
- - Attribute
- - Attribute
- - Attribute
- - Attribute
- - Attribute
- - AttributeContext
- - AttributeException
- - AttributeInUseException
- - AttributeList
- - AttributeList
- - AttributeListImpl
- - AttributeModificationException
- - AttributeSet
- - AttributeSet
- - AttributeSetUtilities
- - AttributeUndoableEdit
- - AttributedCharacterIterator
- - AttributedString
- - Attributes
- - Attributes
- - Attributes
- - AttributesImpl
- - AudioClip
- - AudioFileFormat
- - AudioFileReader
- - AudioFileWriter
- - AudioFormat
- - AudioInputStream
- - AudioPermission
- - AudioSystem
- - AuthPermission
- - AuthenticationException
- - AuthenticationNotSupportedException
- - Authenticator
- - Autoscroll
- - BAD_CONTEXT
- - BAD_INV_ORDER
- - BAD_OPERATION
- - BAD_PARAM
- - BAD_POLICY
- - BAD_POLICY_TYPE
- - BAD_POLICY_VALUE
- - BAD_TYPECODE
- - BCSIterator
- - BCSSServiceProvider
- - BYTE_ARRAY
- - BackingStoreException
- - BadKind
- - BadLocationException
- - BadPaddingException
- - BandCombineOp
- - BandedSampleModel
- - BasicArrowButton
- - BasicAttribute
- - BasicAttributes
- - BasicBorders
- - BasicButtonListener
- - BasicButtonUI
- - BasicCaret
- - BasicCheckBoxMenuItemUI
- - BasicCheckBoxUI
- - BasicColorChooserUI
- - BasicComboBoxEditor
- - BasicComboBoxRenderer
- - BasicComboBoxUI
- - BasicComboPopup
- - BasicDesktopIconUI
- - BasicDesktopPaneUI
- - BasicDirectoryModel
- - BasicEditorPaneUI
- - BasicFileChooserUI
- - BasicFormattedTextFieldUI
- - BasicGraphicsUtils
- - BasicHTML
- - BasicHighlighter
- - BasicIconFactory
- - BasicInternalFrameTitlePane
- - BasicInternalFrameUI
- - BasicLabelUI
- - BasicListUI
- - BasicLookAndFeel
- - BasicMenuBarUI
- - BasicMenuItemUI
- - BasicMenuUI
- - BasicOptionPaneUI
- - BasicPanelUI
- - BasicPasswordFieldUI
- - BasicPermission
- - BasicPopupMenuSeparatorUI
- - BasicPopupMenuUI
- - BasicProgressBarUI
- - BasicRadioButtonMenuItemUI
- - BasicRadioButtonUI
- - BasicRootPaneUI
- - BasicScrollBarUI
- - BasicScrollPaneUI
- - BasicSeparatorUI
- - BasicSliderUI
- - BasicSpinnerUI
- - BasicSplitPaneDivider
- - BasicSplitPaneUI
- - BasicStroke
- - BasicTabbedPaneUI
- - BasicTableHeaderUI
- - BasicTableUI
- - BasicTextAreaUI
- - BasicTextFieldUI
- - BasicTextPaneUI
- - BasicTextUI
- - BasicToggleButtonUI
- - BasicToolBarSeparatorUI
- - BasicToolBarUI
- - BasicToolTipUI
- - BasicTreeUI
- - BasicViewportUI
- - BatchUpdateException
- - BeanContext
- - BeanContextChild
- - BeanContextChildComponentProxy
- - BeanContextChildSupport
- - BeanContextContainerProxy
- - BeanContextEvent
- - BeanContextMembershipEvent
- - BeanContextMembershipListener
- - BeanContextProxy
- - BeanContextServiceAvailableEvent
- - BeanContextServiceProvider
- - BeanContextServiceProviderBeanInfo
- - BeanContextServiceRevokedEvent
- - BeanContextServiceRevokedListener
- - BeanContextServices
- - BeanContextServicesListener
- - BeanContextServicesSupport
- - BeanContextSupport
- - BeanDescriptor
- - BeanInfo
- - Beans
- - BeepAction
- - BevelBorder
- - BevelBorderUIResource
- - Bias
- - Bidi
- - BigDecimal
- - BigInteger
- - BinaryRefAddr
- - BindException
- - Binding
- - Binding
- - BindingHelper
- - BindingHolder
- - BindingIterator
- - BindingIteratorHelper
- - BindingIteratorHolder
- - BindingIteratorOperations
- - BindingIteratorPOA
- - BindingListHelper
- - BindingListHolder
- - BindingType
- - BindingTypeHelper
- - BindingTypeHolder
- - BitSet
- - Blob
- - BlockView
- - BoldAction
- - Book
- - Boolean
- - BooleanControl
- - BooleanHolder
- - BooleanSeqHelper
- - BooleanSeqHolder
- - Border
- - BorderFactory
- - BorderLayout
- - BorderUIResource
- - BoundedRangeModel
- - Bounds
- - Bounds
- - Box
- - BoxLayout
- - BoxPainter
- - BoxView
- - BoxedValueHelper
- - BreakIterator
- - Buffer
- - BufferCapabilities
- - BufferOverflowException
- - BufferStrategy
- - BufferUnderflowException
- - BufferedImage
- - BufferedImageFilter
- - BufferedImageOp
- - BufferedInputStream
- - BufferedOutputStream
- - BufferedReader
- - BufferedWriter
- - Button
- - ButtonAreaLayout
- - ButtonBorder
- - ButtonBorder
- - ButtonGroup
- - ButtonModel
- - ButtonUI
- - Byte
- - ByteArrayInputStream
- - ByteArrayOutputStream
- - ByteBuffer
- - ByteChannel
- - ByteHolder
- - ByteLookupTable
- - ByteOrder
- - CDATASection
- - CHAR_ARRAY
- - CMMException
- - COMM_FAILURE
- - CRC32
- - CRL
- - CRLException
- - CRLSelector
- - CSS
- - CTX_RESTRICT_SCOPE
- - Calendar
- - CallableStatement
- - Callback
- - CallbackHandler
- - CancelablePrintJob
- - CancelledKeyException
- - CannotProceed
- - CannotProceedException
- - CannotProceedHelper
- - CannotProceedHolder
- - CannotRedoException
- - CannotUndoException
- - Canvas
- - CardLayout
- - Caret
- - CaretEvent
- - CaretListener
- - CaretPolicy
- - CellEditor
- - CellEditorListener
- - CellRendererPane
- - CertPath
- - CertPathBuilder
- - CertPathBuilderException
- - CertPathBuilderResult
- - CertPathBuilderSpi
- - CertPathParameters
- - CertPathRep
- - CertPathValidator
- - CertPathValidatorException
- - CertPathValidatorResult
- - CertPathValidatorSpi
- - CertSelector
- - CertStore
- - CertStoreException
- - CertStoreParameters
- - CertStoreSpi
- - Certificate
- - Certificate
- - Certificate
- - CertificateEncodingException
- - CertificateEncodingException
- - CertificateException
- - CertificateException
- - CertificateExpiredException
- - CertificateExpiredException
- - CertificateFactory
- - CertificateFactorySpi
- - CertificateNotYetValidException
- - CertificateNotYetValidException
- - CertificateParsingException
- - CertificateParsingException
- - CertificateRep
- - ChangeEvent
- - ChangeListener
- - ChangedCharSetException
- - Channel
- - ChannelBinding
- - Channels
- - CharArrayReader
- - CharArrayWriter
- - CharBuffer
- - CharConversionException
- - CharHolder
- - CharSeqHelper
- - CharSeqHolder
- - CharSequence
- - Character
- - CharacterAttribute
- - CharacterCodingException
- - CharacterConstants
- - CharacterData
- - CharacterIterator
- - Charset
- - CharsetDecoder
- - CharsetEncoder
- - CharsetProvider
- - Checkbox
- - CheckboxGroup
- - CheckboxMenuItem
- - CheckedInputStream
- - CheckedOutputStream
- - Checksum
- - Choice
- - ChoiceCallback
- - ChoiceFormat
- - Chromaticity
- - Cipher
- - CipherInputStream
- - CipherOutputStream
- - CipherSpi
- - Class
- - ClassCastException
- - ClassCircularityError
- - ClassDesc
- - ClassFormatError
- - ClassLoader
- - ClassNotFoundException
- - ClientRequestInfo
- - ClientRequestInfoOperations
- - ClientRequestInterceptor
- - ClientRequestInterceptorOperations
- - Clip
- - Clipboard
- - ClipboardOwner
- - Clob
- - CloneNotSupportedException
- - Cloneable
- - ClosedByInterruptException
- - ClosedChannelException
- - ClosedSelectorException
- - CodeSets
- - CodeSource
- - Codec
- - CodecFactory
- - CodecFactoryHelper
- - CodecFactoryOperations
- - CodecOperations
- - CoderMalfunctionError
- - CoderResult
- - CodingErrorAction
- - CollationElementIterator
- - CollationKey
- - Collator
- - Collection
- - CollectionCertStoreParameters
- - Collections
- - Color
- - ColorAttribute
- - ColorChooserComponentFactory
- - ColorChooserUI
- - ColorConstants
- - ColorConvertOp
- - ColorModel
- - ColorSelectionModel
- - ColorSpace
- - ColorSupported
- - ColorType
- - ColorUIResource
- - ComboBoxEditor
- - ComboBoxModel
- - ComboBoxUI
- - ComboPopup
- - CommandEnvironment
- - Comment
- - CommunicationException
- - Comparable
- - Comparator
- - Compiler
- - CompletionStatus
- - CompletionStatusHelper
- - Component
- - ComponentAdapter
- - ComponentColorModel
- - ComponentEvent
- - ComponentIdHelper
- - ComponentInputMap
- - ComponentInputMapUIResource
- - ComponentListener
- - ComponentOrientation
- - ComponentSampleModel
- - ComponentUI
- - ComponentView
- - Composite
- - CompositeContext
- - CompositeName
- - CompositeView
- - CompoundBorder
- - CompoundBorderUIResource
- - CompoundControl
- - CompoundEdit
- - CompoundName
- - Compression
- - ConcurrentModificationException
- - Configuration
- - ConfigurationException
- - ConfirmationCallback
- - ConnectException
- - ConnectException
- - ConnectIOException
- - Connection
- - ConnectionEvent
- - ConnectionEventListener
- - ConnectionPendingException
- - ConnectionPoolDataSource
- - ConsoleHandler
- - Constraints
- - Constructor
- - Container
- - ContainerAdapter
- - ContainerEvent
- - ContainerListener
- - ContainerOrderFocusTraversalPolicy
- - Content
- - ContentHandler
- - ContentHandler
- - ContentHandlerFactory
- - ContentModel
- - Context
- - Context
- - ContextList
- - ContextNotEmptyException
- - ContextualRenderedImageFactory
- - Control
- - Control
- - ControlFactory
- - ControllerEventListener
- - ConvolveOp
- - CookieHolder
- - Copies
- - CopiesSupported
- - CopyAction
- - CredentialExpiredException
- - CropImageFilter
- - CubicCurve2D
- - Currency
- - Current
- - Current
- - Current
- - CurrentHelper
- - CurrentHelper
- - CurrentHelper
- - CurrentHolder
- - CurrentOperations
- - CurrentOperations
- - CurrentOperations
- - Cursor
- - CustomMarshal
- - CustomValue
- - Customizer
- - CutAction
- - DATA_CONVERSION
- - DESKeySpec
- - DESedeKeySpec
- - DGC
- - DHGenParameterSpec
- - DHKey
- - DHParameterSpec
- - DHPrivateKey
- - DHPrivateKeySpec
- - DHPublicKey
- - DHPublicKeySpec
- - DOMException
- - DOMImplementation
- - DOMLocator
- - DOMResult
- - DOMSource
- - DSAKey
- - DSAKeyPairGenerator
- - DSAParameterSpec
- - DSAParams
- - DSAPrivateKey
- - DSAPrivateKeySpec
- - DSAPublicKey
- - DSAPublicKeySpec
- - DTD
- - DTDConstants
- - DTDHandler
- - DataBuffer
- - DataBufferByte
- - DataBufferDouble
- - DataBufferFloat
- - DataBufferInt
- - DataBufferShort
- - DataBufferUShort
- - DataFlavor
- - DataFormatException
- - DataInput
- - DataInputStream
- - DataInputStream
- - DataLine
- - DataOutput
- - DataOutputStream
- - DataOutputStream
- - DataSource
- - DataTruncation
- - DatabaseMetaData
- - DatagramChannel
- - DatagramPacket
- - DatagramSocket
- - DatagramSocketImpl
- - DatagramSocketImplFactory
- - Date
- - Date
- - DateEditor
- - DateFormat
- - DateFormatSymbols
- - DateFormatter
- - DateTimeAtCompleted
- - DateTimeAtCreation
- - DateTimeAtProcessing
- - DateTimeSyntax
- - DebugGraphics
- - DecimalFormat
- - DecimalFormatSymbols
- - DeclHandler
- - DefaultBoundedRangeModel
- - DefaultButtonModel
- - DefaultCaret
- - DefaultCellEditor
- - DefaultColorSelectionModel
- - DefaultComboBoxModel
- - DefaultDesktopManager
- - DefaultEditor
- - DefaultEditorKit
- - DefaultFocusManager
- - DefaultFocusTraversalPolicy
- - DefaultFormatter
- - DefaultFormatterFactory
- - DefaultHandler
- - DefaultHighlightPainter
- - DefaultHighlighter
- - DefaultKeyTypedAction
- - DefaultKeyboardFocusManager
- - DefaultListCellRenderer
- - DefaultListModel
- - DefaultListSelectionModel
- - DefaultMenuLayout
- - DefaultMetalTheme
- - DefaultMutableTreeNode
- - DefaultPersistenceDelegate
- - DefaultSelectionType
- - DefaultSingleSelectionModel
- - DefaultStyledDocument
- - DefaultTableCellRenderer
- - DefaultTableColumnModel
- - DefaultTableModel
- - DefaultTextUI
- - DefaultTreeCellEditor
- - DefaultTreeCellRenderer
- - DefaultTreeModel
- - DefaultTreeSelectionModel
- - DefinitionKind
- - DefinitionKindHelper
- - Deflater
- - DeflaterOutputStream
- - Delegate
- - Delegate
- - Delegate
- - DelegationPermission
- - DesignMode
- - DesktopIconUI
- - DesktopManager
- - DesktopPaneUI
- - Destination
- - DestinationType
- - DestroyFailedException
- - Destroyable
- - Dialog
- - DialogType
- - Dictionary
- - DigestException
- - DigestInputStream
- - DigestOutputStream
- - Dimension
- - Dimension2D
- - DimensionUIResource
- - DirContext
- - DirObjectFactory
- - DirStateFactory
- - DirectColorModel
- - DirectoryManager
- - DisplayMode
- - DnDConstants
- - Doc
- - DocAttribute
- - DocAttributeSet
- - DocFlavor
- - DocPrintJob
- - Document
- - Document
- - DocumentBuilder
- - DocumentBuilderFactory
- - DocumentEvent
- - DocumentFilter
- - DocumentFragment
- - DocumentHandler
- - DocumentListener
- - DocumentName
- - DocumentParser
- - DocumentType
- - DomainCombiner
- - DomainManager
- - DomainManagerOperations
- - Double
- - Double
- - Double
- - Double
- - Double
- - Double
- - Double
- - Double
- - Double
- - DoubleBuffer
- - DoubleHolder
- - DoubleSeqHelper
- - DoubleSeqHolder
- - DragGestureEvent
- - DragGestureListener
- - DragGestureRecognizer
- - DragSource
- - DragSourceAdapter
- - DragSourceContext
- - DragSourceDragEvent
- - DragSourceDropEvent
- - DragSourceEvent
- - DragSourceListener
- - DragSourceMotionListener
- - Driver
- - DriverManager
- - DriverPropertyInfo
- - DropTarget
- - DropTargetAdapter
- - DropTargetAutoScroller
- - DropTargetContext
- - DropTargetDragEvent
- - DropTargetDropEvent
- - DropTargetEvent
- - DropTargetListener
- - DuplicateName
- - DuplicateNameHelper
- - DynAny
- - DynAny
- - DynAnyFactory
- - DynAnyFactoryHelper
- - DynAnyFactoryOperations
- - DynAnyHelper
- - DynAnyOperations
- - DynAnySeqHelper
- - DynArray
- - DynArray
- - DynArrayHelper
- - DynArrayOperations
- - DynEnum
- - DynEnum
- - DynEnumHelper
- - DynEnumOperations
- - DynFixed
- - DynFixed
- - DynFixedHelper
- - DynFixedOperations
- - DynSequence
- - DynSequence
- - DynSequenceHelper
- - DynSequenceOperations
- - DynStruct
- - DynStruct
- - DynStructHelper
- - DynStructOperations
- - DynUnion
- - DynUnion
- - DynUnionHelper
- - DynUnionOperations
- - DynValue
- - DynValue
- - DynValueBox
- - DynValueBoxOperations
- - DynValueCommon
- - DynValueCommonOperations
- - DynValueHelper
- - DynValueOperations
- - DynamicImplementation
- - DynamicImplementation
- - DynamicUtilTreeNode
- - ENCODING_CDR_ENCAPS
- - EOFException
- - EditorKit
- - Element
- - Element
- - Element
- - ElementChange
- - ElementEdit
- - ElementIterator
- - ElementSpec
- - Ellipse2D
- - EmptyBorder
- - EmptyBorderUIResource
- - EmptySelectionModel
- - EmptyStackException
- - EncodedKeySpec
- - Encoder
- - Encoding
- - Encoding
- - EncryptedPrivateKeyInfo
- - Engineering
- - Entity
- - Entity
- - EntityReference
- - EntityResolver
- - Entry
- - EnumControl
- - EnumSyntax
- - Enumeration
- - Environment
- - Error
- - ErrorHandler
- - ErrorListener
- - ErrorManager
- - EtchedBorder
- - EtchedBorderUIResource
- - Event
- - EventContext
- - EventDirContext
- - EventHandler
- - EventListener
- - EventListenerList
- - EventListenerProxy
- - EventObject
- - EventQueue
- - EventSetDescriptor
- - EventType
- - EventType
- - Exception
- - ExceptionInInitializerError
- - ExceptionList
- - ExceptionListener
- - ExemptionMechanism
- - ExemptionMechanismException
- - ExemptionMechanismSpi
- - ExpandVetoException
- - ExportException
- - Expression
- - ExtendedRequest
- - ExtendedResponse
- - Externalizable
- - FREE_MEM
- - FactoryConfigurationError
- - FailedLoginException
- - FeatureDescriptor
- - Fidelity
- - Field
- - Field
- - Field
- - Field
- - Field
- - FieldBorder
- - FieldNameHelper
- - FieldNameHelper
- - FieldPosition
- - FieldView
- - File
- - FileCacheImageInputStream
- - FileCacheImageOutputStream
- - FileChannel
- - FileChooserUI
- - FileDescriptor
- - FileDialog
- - FileFilter
- - FileFilter
- - FileHandler
- - FileIcon16
- - FileImageInputStream
- - FileImageOutputStream
- - FileInputStream
- - FileLock
- - FileLockInterruptionException
- - FileNameMap
- - FileNotFoundException
- - FileOutputStream
- - FilePermission
- - FileReader
- - FileSystemView
- - FileView
- - FileWriter
- - FilenameFilter
- - Filler
- - Filter
- - Filter
- - FilterBypass
- - FilterBypass
- - FilterInputStream
- - FilterOutputStream
- - FilterReader
- - FilterWriter
- - FilteredImageSource
- - Finishings
- - FixedHeightLayoutCache
- - FixedHolder
- - FlatteningPathIterator
- - FlavorException
- - FlavorMap
- - FlavorTable
- - FlipContents
- - Float
- - Float
- - Float
- - Float
- - Float
- - Float
- - Float
- - Float
- - Float
- - FloatBuffer
- - FloatControl
- - FloatHolder
- - FloatSeqHelper
- - FloatSeqHolder
- - FlowLayout
- - FlowStrategy
- - FlowView
- - Flush3DBorder
- - FocusAdapter
- - FocusEvent
- - FocusListener
- - FocusManager
- - FocusTraversalPolicy
- - FolderIcon16
- - Font
- - FontAttribute
- - FontConstants
- - FontFamilyAction
- - FontFormatException
- - FontMetrics
- - FontRenderContext
- - FontSizeAction
- - FontUIResource
- - ForegroundAction
- - FormView
- - Format
- - FormatConversionProvider
- - FormatMismatch
- - FormatMismatchHelper
- - Formatter
- - ForwardRequest
- - ForwardRequest
- - ForwardRequestHelper
- - ForwardRequestHelper
- - Frame
- - GSSContext
- - GSSCredential
- - GSSException
- - GSSManager
- - GSSName
- - GZIPInputStream
- - GZIPOutputStream
- - GapContent
- - GatheringByteChannel
- - GeneralPath
- - GeneralSecurityException
- - GetField
- - GlyphJustificationInfo
- - GlyphMetrics
- - GlyphPainter
- - GlyphVector
- - GlyphView
- - GradientPaint
- - GraphicAttribute
- - Graphics
- - Graphics2D
- - GraphicsConfigTemplate
- - GraphicsConfiguration
- - GraphicsDevice
- - GraphicsEnvironment
- - GrayFilter
- - GregorianCalendar
- - GridBagConstraints
- - GridBagLayout
- - GridLayout
- - Group
- - Guard
- - GuardedObject
- - HTML
- - HTMLDocument
- - HTMLEditorKit
- - HTMLEditorKit
- - HTMLEditorKit
- - HTMLFrameHyperlinkEvent
- - HTMLWriter
- - Handler
- - HandlerBase
- - HandshakeCompletedEvent
- - HandshakeCompletedListener
- - HasControls
- - HashAttributeSet
- - HashDocAttributeSet
- - HashMap
- - HashPrintJobAttributeSet
- - HashPrintRequestAttributeSet
- - HashPrintServiceAttributeSet
- - HashSet
- - Hashtable
- - HeadlessException
- - HierarchyBoundsAdapter
- - HierarchyBoundsListener
- - HierarchyEvent
- - HierarchyListener
- - Highlight
- - HighlightPainter
- - Highlighter
- - HostnameVerifier
- - HttpURLConnection
- - HttpsURLConnection
- - HyperlinkEvent
- - HyperlinkListener
- - ICC_ColorSpace
- - ICC_Profile
- - ICC_ProfileGray
- - ICC_ProfileRGB
- - IDLEntity
- - IDLType
- - IDLTypeHelper
- - IDLTypeOperations
- - ID_ASSIGNMENT_POLICY_ID
- - ID_UNIQUENESS_POLICY_ID
- - IIOByteBuffer
- - IIOException
- - IIOImage
- - IIOInvalidTreeException
- - IIOMetadata
- - IIOMetadataController
- - IIOMetadataFormat
- - IIOMetadataFormatImpl
- - IIOMetadataNode
- - IIOParam
- - IIOParamController
- - IIOReadProgressListener
- - IIOReadUpdateListener
- - IIOReadWarningListener
- - IIORegistry
- - IIOServiceProvider
- - IIOWriteProgressListener
- - IIOWriteWarningListener
- - IMPLICIT_ACTIVATION_POLICY_ID
- - IMP_LIMIT
- - INITIALIZE
- - INPUT_STREAM
- - INTERNAL
- - INTF_REPOS
- - INVALID_TRANSACTION
- - INV_FLAG
- - INV_IDENT
- - INV_OBJREF
- - INV_POLICY
- - IOException
- - IOR
- - IORHelper
- - IORHolder
- - IORInfo
- - IORInfoOperations
- - IORInterceptor
- - IORInterceptorOperations
- - IRObject
- - IRObjectOperations
- - ISO
- - Icon
- - IconUIResource
- - IconView
- - IdAssignmentPolicy
- - IdAssignmentPolicyOperations
- - IdAssignmentPolicyValue
- - IdUniquenessPolicy
- - IdUniquenessPolicyOperations
- - IdUniquenessPolicyValue
- - IdentifierHelper
- - Identity
- - IdentityHashMap
- - IdentityScope
- - IllegalAccessError
- - IllegalAccessException
- - IllegalArgumentException
- - IllegalBlockSizeException
- - IllegalBlockingModeException
- - IllegalCharsetNameException
- - IllegalComponentStateException
- - IllegalMonitorStateException
- - IllegalPathStateException
- - IllegalSelectorException
- - IllegalStateException
- - IllegalThreadStateException
- - Image
- - ImageCapabilities
- - ImageConsumer
- - ImageFilter
- - ImageGraphicAttribute
- - ImageIO
- - ImageIcon
- - ImageInputStream
- - ImageInputStreamImpl
- - ImageInputStreamSpi
- - ImageObserver
- - ImageOutputStream
- - ImageOutputStreamImpl
- - ImageOutputStreamSpi
- - ImageProducer
- - ImageReadParam
- - ImageReader
- - ImageReaderSpi
- - ImageReaderWriterSpi
- - ImageTranscoder
- - ImageTranscoderSpi
- - ImageTypeSpecifier
- - ImageView
- - ImageWriteParam
- - ImageWriter
- - ImageWriterSpi
- - ImagingOpException
- - ImplicitActivationPolicy
- - ImplicitActivationPolicyOperations
- - ImplicitActivationPolicyValue
- - IncompatibleClassChangeError
- - InconsistentTypeCode
- - InconsistentTypeCode
- - InconsistentTypeCodeHelper
- - IndexColorModel
- - IndexOutOfBoundsException
- - IndexedPropertyDescriptor
- - IndirectionException
- - Inet4Address
- - Inet6Address
- - InetAddress
- - InetSocketAddress
- - Inflater
- - InflaterInputStream
- - Info
- - Info
- - Info
- - Info
- - Info
- - InheritableThreadLocal
- - InitialContext
- - InitialContextFactory
- - InitialContextFactoryBuilder
- - InitialDirContext
- - InitialLdapContext
- - InlineView
- - InputContext
- - InputEvent
- - InputMap
- - InputMapUIResource
- - InputMethod
- - InputMethodContext
- - InputMethodDescriptor
- - InputMethodEvent
- - InputMethodHighlight
- - InputMethodListener
- - InputMethodRequests
- - InputSource
- - InputStream
- - InputStream
- - InputStream
- - InputStreamReader
- - InputSubset
- - InputVerifier
- - InsertBreakAction
- - InsertContentAction
- - InsertHTMLTextAction
- - InsertTabAction
- - Insets
- - InsetsUIResource
- - InstantiationError
- - InstantiationException
- - Instrument
- - InsufficientResourcesException
- - IntBuffer
- - IntHolder
- - Integer
- - IntegerSyntax
- - Interceptor
- - InterceptorOperations
- - InternalError
- - InternalFrameAdapter
- - InternalFrameBorder
- - InternalFrameEvent
- - InternalFrameFocusTraversalPolicy
- - InternalFrameListener
- - InternalFrameUI
- - InternationalFormatter
- - InterruptedException
- - InterruptedIOException
- - InterruptedNamingException
- - InterruptibleChannel
- - IntrospectionException
- - Introspector
- - Invalid
- - InvalidAddress
- - InvalidAddressHelper
- - InvalidAddressHolder
- - InvalidAlgorithmParameterException
- - InvalidAttributeIdentifierException
- - InvalidAttributeValueException
- - InvalidAttributesException
- - InvalidClassException
- - InvalidDnDOperationException
- - InvalidKeyException
- - InvalidKeySpecException
- - InvalidMarkException
- - InvalidMidiDataException
- - InvalidName
- - InvalidName
- - InvalidName
- - InvalidNameException
- - InvalidNameHelper
- - InvalidNameHelper
- - InvalidNameHolder
- - InvalidObjectException
- - InvalidParameterException
- - InvalidParameterSpecException
- - InvalidPolicy
- - InvalidPolicyHelper
- - InvalidPreferencesFormatException
- - InvalidSearchControlsException
- - InvalidSearchFilterException
- - InvalidSeq
- - InvalidSlot
- - InvalidSlotHelper
- - InvalidTransactionException
- - InvalidTypeForEncoding
- - InvalidTypeForEncodingHelper
- - InvalidValue
- - InvalidValue
- - InvalidValueHelper
- - InvocationEvent
- - InvocationHandler
- - InvocationTargetException
- - InvokeHandler
- - IstringHelper
- - ItalicAction
- - ItemEvent
- - ItemListener
- - ItemSelectable
- - Iterator
- - Iterator
- - IvParameterSpec
- - JApplet
- - JButton
- - JCheckBox
- - JCheckBoxMenuItem
- - JColorChooser
- - JComboBox
- - JComponent
- - JDesktopIcon
- - JDesktopPane
- - JDialog
- - JEditorPane
- - JFileChooser
- - JFormattedTextField
- - JFrame
- - JIS
- - JInternalFrame
- - JLabel
- - JLayeredPane
- - JList
- - JMenu
- - JMenuBar
- - JMenuItem
- - JOptionPane
- - JPEGHuffmanTable
- - JPEGImageReadParam
- - JPEGImageWriteParam
- - JPEGQTable
- - JPanel
- - JPasswordField
- - JPopupMenu
- - JProgressBar
- - JRadioButton
- - JRadioButtonMenuItem
- - JRootPane
- - JScrollBar
- - JScrollPane
- - JSeparator
- - JSlider
- - JSpinner
- - JSplitPane
- - JTabbedPane
- - JTable
- - JTableHeader
- - JTextArea
- - JTextComponent
- - JTextField
- - JTextPane
- - JToggleButton
- - JToolBar
- - JToolTip
- - JTree
- - JViewport
- - JWindow
- - JarEntry
- - JarException
- - JarFile
- - JarInputStream
- - JarOutputStream
- - JarURLConnection
- - JobAttributes
- - JobHoldUntil
- - JobImpressions
- - JobImpressionsCompleted
- - JobImpressionsSupported
- - JobKOctets
- - JobKOctetsProcessed
- - JobKOctetsSupported
- - JobMediaSheets
- - JobMediaSheetsCompleted
- - JobMediaSheetsSupported
- - JobMessageFromOperator
- - JobName
- - JobOriginatingUserName
- - JobPriority
- - JobPrioritySupported
- - JobSheets
- - JobState
- - JobStateReason
- - JobStateReasons
- - KerberosKey
- - KerberosPrincipal
- - KerberosTicket
- - Kernel
- - Key
- - Key
- - KeyAdapter
- - KeyAgreement
- - KeyAgreementSpi
- - KeyBinding
- - KeyEvent
- - KeyEventDispatcher
- - KeyEventPostProcessor
- - KeyException
- - KeyFactory
- - KeyFactorySpi
- - KeyGenerator
- - KeyGeneratorSpi
- - KeyListener
- - KeyManagementException
- - KeyManager
- - KeyManagerFactory
- - KeyManagerFactorySpi
- - KeyPair
- - KeyPairGenerator
- - KeyPairGeneratorSpi
- - KeySelectionManager
- - KeySpec
- - KeyStore
- - KeyStoreException
- - KeyStoreSpi
- - KeyStroke
- - KeyboardFocusManager
- - Keymap
- - LDAPCertStoreParameters
- - LIFESPAN_POLICY_ID
- - LOCATION_FORWARD
- - Label
- - LabelUI
- - LabelView
- - LanguageCallback
- - LastOwnerException
- - LayerPainter
- - LayeredHighlighter
- - LayoutFocusTraversalPolicy
- - LayoutManager
- - LayoutManager2
- - LayoutQueue
- - LazyInputMap
- - LazyValue
- - LdapContext
- - LdapReferralException
- - Lease
- - Level
- - LexicalHandler
- - LifespanPolicy
- - LifespanPolicyOperations
- - LifespanPolicyValue
- - LimitExceededException
- - Line
- - Line2D
- - LineBorder
- - LineBorderUIResource
- - LineBreakMeasurer
- - LineEvent
- - LineListener
- - LineMetrics
- - LineNumberInputStream
- - LineNumberReader
- - LineUnavailableException
- - LinkController
- - LinkException
- - LinkLoopException
- - LinkRef
- - LinkageError
- - LinkedHashMap
- - LinkedHashSet
- - LinkedList
- - List
- - List
- - ListCellRenderer
- - ListDataEvent
- - ListDataListener
- - ListEditor
- - ListIterator
- - ListModel
- - ListPainter
- - ListResourceBundle
- - ListSelectionEvent
- - ListSelectionListener
- - ListSelectionModel
- - ListUI
- - ListView
- - LoaderHandler
- - LocalObject
- - Locale
- - LocateRegistry
- - Locator
- - LocatorImpl
- - LogManager
- - LogRecord
- - LogStream
- - Logger
- - LoggingPermission
- - LoginContext
- - LoginException
- - LoginModule
- - LoginModuleControlFlag
- - Long
- - LongBuffer
- - LongHolder
- - LongLongSeqHelper
- - LongLongSeqHolder
- - LongSeqHelper
- - LongSeqHolder
- - LookAndFeel
- - LookAndFeelInfo
- - LookupOp
- - LookupTable
- - MARSHAL
- - Mac
- - MacSpi
- - MalformedInputException
- - MalformedLinkException
- - MalformedURLException
- - ManagerFactoryParameters
- - Manifest
- - Map
- - MapMode
- - MappedByteBuffer
- - MarginBorder
- - MarshalException
- - MarshalledObject
- - MaskFormatter
- - Matcher
- - Math
- - MatteBorder
- - MatteBorderUIResource
- - Media
- - MediaName
- - MediaPrintableArea
- - MediaSize
- - MediaSizeName
- - MediaTracker
- - MediaTray
- - MediaType
- - Member
- - MemoryCacheImageInputStream
- - MemoryCacheImageOutputStream
- - MemoryHandler
- - MemoryImageSource
- - Menu
- - MenuBar
- - MenuBarBorder
- - MenuBarBorder
- - MenuBarUI
- - MenuComponent
- - MenuContainer
- - MenuDragMouseEvent
- - MenuDragMouseListener
- - MenuElement
- - MenuEvent
- - MenuItem
- - MenuItemBorder
- - MenuItemUI
- - MenuKeyEvent
- - MenuKeyListener
- - MenuListener
- - MenuSelectionManager
- - MenuShortcut
- - MessageDigest
- - MessageDigestSpi
- - MessageFormat
- - MessageProp
- - MetaEventListener
- - MetaMessage
- - MetalBorders
- - MetalButtonUI
- - MetalCheckBoxIcon
- - MetalCheckBoxUI
- - MetalComboBoxButton
- - MetalComboBoxEditor
- - MetalComboBoxIcon
- - MetalComboBoxUI
- - MetalDesktopIconUI
- - MetalFileChooserUI
- - MetalIconFactory
- - MetalInternalFrameTitlePane
- - MetalInternalFrameUI
- - MetalLabelUI
- - MetalLookAndFeel
- - MetalPopupMenuSeparatorUI
- - MetalProgressBarUI
- - MetalRadioButtonUI
- - MetalRootPaneUI
- - MetalScrollBarUI
- - MetalScrollButton
- - MetalScrollPaneUI
- - MetalSeparatorUI
- - MetalSliderUI
- - MetalSplitPaneUI
- - MetalTabbedPaneUI
- - MetalTextFieldUI
- - MetalTheme
- - MetalToggleButtonUI
- - MetalToolBarUI
- - MetalToolTipUI
- - MetalTreeUI
- - Method
- - MethodDescriptor
- - MidiChannel
- - MidiDevice
- - MidiDeviceProvider
- - MidiEvent
- - MidiFileFormat
- - MidiFileReader
- - MidiFileWriter
- - MidiMessage
- - MidiSystem
- - MidiUnavailableException
- - MimeTypeParseException
- - MinimalHTMLWriter
- - MissingResourceException
- - Mixer
- - MixerProvider
- - ModificationItem
- - Modifier
- - MouseAdapter
- - MouseDragGestureRecognizer
- - MouseEvent
- - MouseInputAdapter
- - MouseInputListener
- - MouseListener
- - MouseMotionAdapter
- - MouseMotionListener
- - MouseWheelEvent
- - MouseWheelListener
- - MultiButtonUI
- - MultiColorChooserUI
- - MultiComboBoxUI
- - MultiDesktopIconUI
- - MultiDesktopPaneUI
- - MultiDoc
- - MultiDocPrintJob
- - MultiDocPrintService
- - MultiFileChooserUI
- - MultiInternalFrameUI
- - MultiLabelUI
- - MultiListUI
- - MultiLookAndFeel
- - MultiMenuBarUI
- - MultiMenuItemUI
- - MultiOptionPaneUI
- - MultiPanelUI
- - MultiPixelPackedSampleModel
- - MultiPopupMenuUI
- - MultiProgressBarUI
- - MultiRootPaneUI
- - MultiScrollBarUI
- - MultiScrollPaneUI
- - MultiSeparatorUI
- - MultiSliderUI
- - MultiSpinnerUI
- - MultiSplitPaneUI
- - MultiTabbedPaneUI
- - MultiTableHeaderUI
- - MultiTableUI
- - MultiTextUI
- - MultiToolBarUI
- - MultiToolTipUI
- - MultiTreeUI
- - MultiViewportUI
- - MulticastSocket
- - MultipleComponentProfileHelper
- - MultipleComponentProfileHolder
- - MultipleDocumentHandling
- - MultipleDocumentHandlingType
- - MultipleMaster
- - MutableAttributeSet
- - MutableComboBoxModel
- - MutableTreeNode
- - NA
- - NO_IMPLEMENT
- - NO_MEMORY
- - NO_PERMISSION
- - NO_RESOURCES
- - NO_RESPONSE
- - NVList
- - Name
- - Name
- - NameAlreadyBoundException
- - NameCallback
- - NameClassPair
- - NameComponent
- - NameComponentHelper
- - NameComponentHolder
- - NameDynAnyPair
- - NameDynAnyPairHelper
- - NameDynAnyPairSeqHelper
- - NameHelper
- - NameHolder
- - NameNotFoundException
- - NameParser
- - NameValuePair
- - NameValuePair
- - NameValuePairHelper
- - NameValuePairHelper
- - NameValuePairSeqHelper
- - NamedNodeMap
- - NamedValue
- - NamespaceChangeListener
- - NamespaceSupport
- - Naming
- - NamingContext
- - NamingContextExt
- - NamingContextExtHelper
- - NamingContextExtHolder
- - NamingContextExtOperations
- - NamingContextExtPOA
- - NamingContextHelper
- - NamingContextHolder
- - NamingContextOperations
- - NamingContextPOA
- - NamingEnumeration
- - NamingEvent
- - NamingException
- - NamingExceptionEvent
- - NamingListener
- - NamingManager
- - NamingSecurityException
- - NavigationFilter
- - NegativeArraySizeException
- - NetPermission
- - NetworkInterface
- - NoClassDefFoundError
- - NoConnectionPendingException
- - NoContext
- - NoContextHelper
- - NoInitialContextException
- - NoPermissionException
- - NoRouteToHostException
- - NoServant
- - NoServantHelper
- - NoSuchAlgorithmException
- - NoSuchAttributeException
- - NoSuchElementException
- - NoSuchFieldError
- - NoSuchFieldException
- - NoSuchMethodError
- - NoSuchMethodException
- - NoSuchObjectException
- - NoSuchPaddingException
- - NoSuchProviderException
- - Node
- - NodeChangeEvent
- - NodeChangeListener
- - NodeDimensions
- - NodeList
- - NonReadableChannelException
- - NonWritableChannelException
- - NoninvertibleTransformException
- - NotActiveException
- - NotBoundException
- - NotContextException
- - NotEmpty
- - NotEmptyHelper
- - NotEmptyHolder
- - NotFound
- - NotFoundHelper
- - NotFoundHolder
- - NotFoundReason
- - NotFoundReasonHelper
- - NotFoundReasonHolder
- - NotOwnerException
- - NotSerializableException
- - NotYetBoundException
- - NotYetConnectedException
- - Notation
- - NullCipher
- - NullPointerException
- - Number
- - NumberEditor
- - NumberFormat
- - NumberFormatException
- - NumberFormatter
- - NumberOfDocuments
- - NumberOfInterveningJobs
- - NumberUp
- - NumberUpSupported
- - NumericShaper
- - OBJECT_NOT_EXIST
- - OBJ_ADAPTER
- - OMGVMCID
- - ORB
- - ORB
- - ORBInitInfo
- - ORBInitInfoOperations
- - ORBInitializer
- - ORBInitializerOperations
- - ObjID
- - Object
- - Object
- - ObjectAlreadyActive
- - ObjectAlreadyActiveHelper
- - ObjectChangeListener
- - ObjectFactory
- - ObjectFactoryBuilder
- - ObjectHelper
- - ObjectHolder
- - ObjectIdHelper
- - ObjectImpl
- - ObjectImpl
- - ObjectInput
- - ObjectInputStream
- - ObjectInputValidation
- - ObjectNotActive
- - ObjectNotActiveHelper
- - ObjectOutput
- - ObjectOutputStream
- - ObjectStreamClass
- - ObjectStreamConstants
- - ObjectStreamException
- - ObjectStreamField
- - ObjectView
- - Observable
- - Observer
- - OctetSeqHelper
- - OctetSeqHolder
- - Oid
- - OpenType
- - Operation
- - OperationNotSupportedException
- - Option
- - OptionDialogBorder
- - OptionPaneUI
- - OptionalDataException
- - OrientationRequested
- - OrientationRequestedType
- - OriginType
- - Other
- - OutOfMemoryError
- - OutputDeviceAssigned
- - OutputKeys
- - OutputStream
- - OutputStream
- - OutputStream
- - OutputStreamWriter
- - OverlappingFileLockException
- - OverlayLayout
- - Owner
- - PBEKey
- - PBEKeySpec
- - PBEParameterSpec
- - PDLOverrideSupported
- - PERSIST_STORE
- - PKCS8EncodedKeySpec
- - PKIXBuilderParameters
- - PKIXCertPathBuilderResult
- - PKIXCertPathChecker
- - PKIXCertPathValidatorResult
- - PKIXParameters
- - POA
- - POAHelper
- - POAManager
- - POAManagerOperations
- - POAOperations
- - PRIVATE_MEMBER
- - PSSParameterSpec
- - PUBLIC_MEMBER
- - Package
- - PackedColorModel
- - PageAttributes
- - PageFormat
- - PageRanges
- - Pageable
- - PagesPerMinute
- - PagesPerMinuteColor
- - Paint
- - PaintContext
- - PaintEvent
- - PaletteBorder
- - PaletteCloseIcon
- - Panel
- - PanelUI
- - Paper
- - ParagraphAttribute
- - ParagraphConstants
- - ParagraphView
- - ParagraphView
- - Parameter
- - ParameterBlock
- - ParameterDescriptor
- - ParameterMetaData
- - ParameterMode
- - ParameterModeHelper
- - ParameterModeHolder
- - ParseException
- - ParsePosition
- - Parser
- - Parser
- - Parser
- - ParserAdapter
- - ParserCallback
- - ParserConfigurationException
- - ParserDelegator
- - ParserFactory
- - PartialResultException
- - PasswordAuthentication
- - PasswordCallback
- - PasswordView
- - PasteAction
- - Patch
- - PathIterator
- - Pattern
- - PatternSyntaxException
- - Permission
- - Permission
- - PermissionCollection
- - Permissions
- - PersistenceDelegate
- - PhantomReference
- - Pipe
- - PipedInputStream
- - PipedOutputStream
- - PipedReader
- - PipedWriter
- - PixelGrabber
- - PixelInterleavedSampleModel
- - PlainDocument
- - PlainView
- - Point
- - Point2D
- - Policy
- - Policy
- - Policy
- - PolicyError
- - PolicyErrorCodeHelper
- - PolicyErrorHelper
- - PolicyErrorHolder
- - PolicyFactory
- - PolicyFactoryOperations
- - PolicyHelper
- - PolicyHolder
- - PolicyListHelper
- - PolicyListHolder
- - PolicyNode
- - PolicyOperations
- - PolicyQualifierInfo
- - PolicyTypeHelper
- - Polygon
- - PooledConnection
- - Popup
- - PopupFactory
- - PopupMenu
- - PopupMenuBorder
- - PopupMenuEvent
- - PopupMenuListener
- - PopupMenuUI
- - Port
- - PortUnreachableException
- - PortableRemoteObject
- - PortableRemoteObjectDelegate
- - Position
- - PreferenceChangeEvent
- - PreferenceChangeListener
- - Preferences
- - PreferencesFactory
- - PreparedStatement
- - PresentationDirection
- - Principal
- - Principal
- - PrincipalHolder
- - PrintEvent
- - PrintException
- - PrintGraphics
- - PrintJob
- - PrintJobAdapter
- - PrintJobAttribute
- - PrintJobAttributeEvent
- - PrintJobAttributeListener
- - PrintJobAttributeSet
- - PrintJobEvent
- - PrintJobListener
- - PrintQuality
- - PrintQualityType
- - PrintRequestAttribute
- - PrintRequestAttributeSet
- - PrintService
- - PrintServiceAttribute
- - PrintServiceAttributeEvent
- - PrintServiceAttributeListener
- - PrintServiceAttributeSet
- - PrintServiceLookup
- - PrintStream
- - PrintWriter
- - Printable
- - PrinterAbortException
- - PrinterException
- - PrinterGraphics
- - PrinterIOException
- - PrinterInfo
- - PrinterIsAcceptingJobs
- - PrinterJob
- - PrinterLocation
- - PrinterMakeAndModel
- - PrinterMessageFromOperator
- - PrinterMoreInfo
- - PrinterMoreInfoManufacturer
- - PrinterName
- - PrinterResolution
- - PrinterState
- - PrinterStateReason
- - PrinterStateReasons
- - PrinterURI
- - PrivateCredentialPermission
- - PrivateKey
- - PrivilegedAction
- - PrivilegedActionException
- - PrivilegedExceptionAction
- - Process
- - ProcessingInstruction
- - ProfileDataException
- - ProfileIdHelper
- - ProgressBarUI
- - ProgressMonitor
- - ProgressMonitorInputStream
- - Properties
- - PropertyChangeEvent
- - PropertyChangeListener
- - PropertyChangeListenerProxy
- - PropertyChangeSupport
- - PropertyDescriptor
- - PropertyEditor
- - PropertyEditorManager
- - PropertyEditorSupport
- - PropertyPermission
- - PropertyResourceBundle
- - PropertyVetoException
- - ProtectionDomain
- - ProtocolException
- - Provider
- - ProviderException
- - Proxy
- - ProxyLazyValue
- - PublicKey
- - PushbackInputStream
- - PushbackReader
- - PutField
- - QuadCurve2D
- - QueuedJobCount
- - RC2ParameterSpec
- - RC5ParameterSpec
- - READER
- - REQUEST_PROCESSING_POLICY_ID
- - RGBImageFilter
- - RMIClassLoader
- - RMIClassLoaderSpi
- - RMIClientSocketFactory
- - RMIFailureHandler
- - RMISecurityException
- - RMISecurityManager
- - RMIServerSocketFactory
- - RMISocketFactory
- - RSAKey
- - RSAKeyGenParameterSpec
- - RSAMultiPrimePrivateCrtKey
- - RSAMultiPrimePrivateCrtKeySpec
- - RSAOtherPrimeInfo
- - RSAPrivateCrtKey
- - RSAPrivateCrtKeySpec
- - RSAPrivateKey
- - RSAPrivateKeySpec
- - RSAPublicKey
- - RSAPublicKeySpec
- - RTFEditorKit
- - RadioButtonBorder
- - Random
- - RandomAccess
- - RandomAccessFile
- - Raster
- - RasterFormatException
- - RasterOp
- - ReadOnlyBufferException
- - ReadableByteChannel
- - Reader
- - Receiver
- - Rectangle
- - Rectangle2D
- - RectangularShape
- - Ref
- - RefAddr
- - Reference
- - Reference
- - ReferenceQueue
- - ReferenceUriSchemesSupported
- - Referenceable
- - ReferralException
- - ReflectPermission
- - RefreshFailedException
- - Refreshable
- - RegisterableService
- - Registry
- - RegistryHandler
- - RemarshalException
- - Remote
- - RemoteCall
- - RemoteException
- - RemoteObject
- - RemoteRef
- - RemoteServer
- - RemoteStub
- - RenderContext
- - RenderableImage
- - RenderableImageOp
- - RenderableImageProducer
- - RenderedImage
- - RenderedImageFactory
- - Renderer
- - RenderingHints
- - RepaintManager
- - ReplicateScaleFilter
- - RepositoryIdHelper
- - Request
- - RequestInfo
- - RequestInfoOperations
- - RequestProcessingPolicy
- - RequestProcessingPolicyOperations
- - RequestProcessingPolicyValue
- - RequestingUserName
- - RescaleOp
- - ResolutionSyntax
- - ResolveResult
- - Resolver
- - ResourceBundle
- - ResponseHandler
- - Result
- - Result
- - ResultSet
- - ResultSetMetaData
- - ReverbType
- - Robot
- - RolloverButtonBorder
- - RolloverButtonBorder
- - RootPaneContainer
- - RootPaneUI
- - RoundRectangle2D
- - RowMapper
- - RowSet
- - RowSetEvent
- - RowSetInternal
- - RowSetListener
- - RowSetMetaData
- - RowSetReader
- - RowSetWriter
- - RuleBasedCollator
- - RunTime
- - RunTimeOperations
- - Runnable
- - Runtime
- - RuntimeException
- - RuntimePermission
- - SAXException
- - SAXNotRecognizedException
- - SAXNotSupportedException
- - SAXParseException
- - SAXParser
- - SAXParserFactory
- - SAXResult
- - SAXSource
- - SAXTransformerFactory
- - SERVANT_RETENTION_POLICY_ID
- - SERVICE_FORMATTED
- - SQLData
- - SQLException
- - SQLInput
- - SQLOutput
- - SQLPermission
- - SQLWarning
- - SSLContext
- - SSLContextSpi
- - SSLException
- - SSLHandshakeException
- - SSLKeyException
- - SSLPeerUnverifiedException
- - SSLPermission
- - SSLProtocolException
- - SSLServerSocket
- - SSLServerSocketFactory
- - SSLSession
- - SSLSessionBindingEvent
- - SSLSessionBindingListener
- - SSLSessionContext
- - SSLSocket
- - SSLSocketFactory
- - STRING
- - SUCCESSFUL
- - SYNC_WITH_TRANSPORT
- - SYSTEM_EXCEPTION
- - SampleModel
- - Savepoint
- - ScatteringByteChannel
- - SchemaViolationException
- - ScrollBarUI
- - ScrollPane
- - ScrollPaneAdjustable
- - ScrollPaneBorder
- - ScrollPaneConstants
- - ScrollPaneLayout
- - ScrollPaneUI
- - Scrollable
- - Scrollbar
- - SealedObject
- - SearchControls
- - SearchResult
- - SecretKey
- - SecretKeyFactory
- - SecretKeyFactorySpi
- - SecretKeySpec
- - SecureClassLoader
- - SecureRandom
- - SecureRandomSpi
- - Security
- - SecurityException
- - SecurityManager
- - SecurityPermission
- - Segment
- - SelectableChannel
- - SelectionKey
- - Selector
- - SelectorProvider
- - Separator
- - Separator
- - SeparatorUI
- - Sequence
- - SequenceInputStream
- - Sequencer
- - Serializable
- - SerializablePermission
- - Servant
- - ServantActivator
- - ServantActivatorHelper
- - ServantActivatorOperations
- - ServantActivatorPOA
- - ServantAlreadyActive
- - ServantAlreadyActiveHelper
- - ServantLocator
- - ServantLocatorHelper
- - ServantLocatorOperations
- - ServantLocatorPOA
- - ServantManager
- - ServantManagerOperations
- - ServantNotActive
- - ServantNotActiveHelper
- - ServantObject
- - ServantRetentionPolicy
- - ServantRetentionPolicyOperations
- - ServantRetentionPolicyValue
- - ServerCloneException
- - ServerError
- - ServerException
- - ServerNotActiveException
- - ServerRef
- - ServerRequest
- - ServerRequestInfo
- - ServerRequestInfoOperations
- - ServerRequestInterceptor
- - ServerRequestInterceptorOperations
- - ServerRuntimeException
- - ServerSocket
- - ServerSocketChannel
- - ServerSocketFactory
- - ServiceContext
- - ServiceContextHelper
- - ServiceContextHolder
- - ServiceContextListHelper
- - ServiceContextListHolder
- - ServiceDetail
- - ServiceDetailHelper
- - ServiceIdHelper
- - ServiceInformation
- - ServiceInformationHelper
- - ServiceInformationHolder
- - ServicePermission
- - ServiceRegistry
- - ServiceUI
- - ServiceUIFactory
- - ServiceUnavailableException
- - Set
- - SetOfIntegerSyntax
- - SetOverrideType
- - SetOverrideTypeHelper
- - Severity
- - Shape
- - ShapeGraphicAttribute
- - SheetCollate
- - Short
- - ShortBuffer
- - ShortBufferException
- - ShortHolder
- - ShortLookupTable
- - ShortMessage
- - ShortSeqHelper
- - ShortSeqHolder
- - Sides
- - SidesType
- - Signature
- - SignatureException
- - SignatureSpi
- - SignedObject
- - Signer
- - SimpleAttributeSet
- - SimpleBeanInfo
- - SimpleDateFormat
- - SimpleDoc
- - SimpleFormatter
- - SimpleTimeZone
- - SinglePixelPackedSampleModel
- - SingleSelectionModel
- - SinkChannel
- - Size2DSyntax
- - SizeLimitExceededException
- - SizeRequirements
- - SizeSequence
- - Skeleton
- - SkeletonMismatchException
- - SkeletonNotFoundException
- - SliderUI
- - Socket
- - SocketAddress
- - SocketChannel
- - SocketException
- - SocketFactory
- - SocketHandler
- - SocketImpl
- - SocketImplFactory
- - SocketOptions
- - SocketPermission
- - SocketSecurityException
- - SocketTimeoutException
- - SoftBevelBorder
- - SoftReference
- - SortedMap
- - SortedSet
- - SortingFocusTraversalPolicy
- - Soundbank
- - SoundbankReader
- - SoundbankResource
- - Source
- - SourceChannel
- - SourceDataLine
- - SourceLocator
- - SpinnerDateModel
- - SpinnerListModel
- - SpinnerModel
- - SpinnerNumberModel
- - SpinnerUI
- - SplitPaneBorder
- - SplitPaneUI
- - Spring
- - SpringLayout
- - Stack
- - StackOverflowError
- - StackTraceElement
- - StartTlsRequest
- - StartTlsResponse
- - State
- - StateEdit
- - StateEditable
- - StateFactory
- - Statement
- - Statement
- - StreamCorruptedException
- - StreamHandler
- - StreamPrintService
- - StreamPrintServiceFactory
- - StreamResult
- - StreamSource
- - StreamTokenizer
- - Streamable
- - StreamableValue
- - StrictMath
- - String
- - StringBuffer
- - StringBufferInputStream
- - StringCharacterIterator
- - StringContent
- - StringHolder
- - StringIndexOutOfBoundsException
- - StringNameHelper
- - StringReader
- - StringRefAddr
- - StringSelection
- - StringSeqHelper
- - StringSeqHolder
- - StringTokenizer
- - StringValueHelper
- - StringWriter
- - Stroke
- - Struct
- - StructMember
- - StructMemberHelper
- - Stub
- - StubDelegate
- - StubNotFoundException
- - Style
- - StyleConstants
- - StyleContext
- - StyleSheet
- - StyledDocument
- - StyledEditorKit
- - StyledTextAction
- - Subject
- - SubjectDomainCombiner
- - Subset
- - SupportedValuesAttribute
- - SwingConstants
- - SwingPropertyChangeSupport
- - SwingUtilities
- - SyncFailedException
- - SyncMode
- - SyncScopeHelper
- - Synthesizer
- - SysexMessage
- - System
- - SystemColor
- - SystemException
- - SystemFlavorMap
- - TAG_ALTERNATE_IIOP_ADDRESS
- - TAG_CODE_SETS
- - TAG_INTERNET_IOP
- - TAG_JAVA_CODEBASE
- - TAG_MULTIPLE_COMPONENTS
- - TAG_ORB_TYPE
- - TAG_POLICIES
- - TCKind
- - THREAD_POLICY_ID
- - TRANSACTION_REQUIRED
- - TRANSACTION_ROLLEDBACK
- - TRANSIENT
- - TRANSPORT_RETRY
- - TabExpander
- - TabSet
- - TabStop
- - TabableView
- - TabbedPaneUI
- - TableCellEditor
- - TableCellRenderer
- - TableColumn
- - TableColumnModel
- - TableColumnModelEvent
- - TableColumnModelListener
- - TableHeaderBorder
- - TableHeaderUI
- - TableModel
- - TableModelEvent
- - TableModelListener
- - TableUI
- - TableView
- - Tag
- - TagElement
- - TaggedComponent
- - TaggedComponentHelper
- - TaggedComponentHolder
- - TaggedProfile
- - TaggedProfileHelper
- - TaggedProfileHolder
- - TargetDataLine
- - Templates
- - TemplatesHandler
- - Text
- - TextAction
- - TextArea
- - TextAttribute
- - TextComponent
- - TextEvent
- - TextField
- - TextFieldBorder
- - TextHitInfo
- - TextInputCallback
- - TextLayout
- - TextListener
- - TextMeasurer
- - TextOutputCallback
- - TextSyntax
- - TextUI
- - TexturePaint
- - Thread
- - ThreadDeath
- - ThreadGroup
- - ThreadLocal
- - ThreadPolicy
- - ThreadPolicyOperations
- - ThreadPolicyValue
- - Throwable
- - Tie
- - TileObserver
- - Time
- - TimeLimitExceededException
- - TimeZone
- - Timer
- - Timer
- - TimerTask
- - Timestamp
- - TitledBorder
- - TitledBorderUIResource
- - ToggleButtonBorder
- - ToggleButtonBorder
- - ToggleButtonModel
- - TooManyListenersException
- - ToolBarBorder
- - ToolBarUI
- - ToolTipManager
- - ToolTipUI
- - Toolkit
- - Track
- - TransactionRequiredException
- - TransactionRolledbackException
- - TransactionService
- - TransferHandler
- - Transferable
- - TransformAttribute
- - Transformer
- - TransformerConfigurationException
- - TransformerException
- - TransformerFactory
- - TransformerFactoryConfigurationError
- - TransformerHandler
- - Transmitter
- - Transparency
- - TreeCellEditor
- - TreeCellRenderer
- - TreeControlIcon
- - TreeExpansionEvent
- - TreeExpansionListener
- - TreeFolderIcon
- - TreeLeafIcon
- - TreeMap
- - TreeModel
- - TreeModelEvent
- - TreeModelListener
- - TreeNode
- - TreePath
- - TreeSelectionEvent
- - TreeSelectionListener
- - TreeSelectionModel
- - TreeSet
- - TreeUI
- - TreeWillExpandListener
- - TrustAnchor
- - TrustManager
- - TrustManagerFactory
- - TrustManagerFactorySpi
- - Type
- - Type
- - Type
- - Type
- - Type
- - Type
- - Type
- - TypeCode
- - TypeCodeHolder
- - TypeMismatch
- - TypeMismatch
- - TypeMismatch
- - TypeMismatchHelper
- - TypeMismatchHelper
- - Types
- - UID
- - UIDefaults
- - UIManager
- - UIResource
- - UIResource
- - UIResource
- - UIResource
- - UIResource
- - UIResource
- - UIResource
- - ULongLongSeqHelper
- - ULongLongSeqHolder
- - ULongSeqHelper
- - ULongSeqHolder
- - UNKNOWN
- - UNSUPPORTED_POLICY
- - UNSUPPORTED_POLICY_VALUE
- - URI
- - URIException
- - URIResolver
- - URISyntax
- - URISyntaxException
- - URL
- - URL
- - URLClassLoader
- - URLConnection
- - URLDecoder
- - URLEncoder
- - URLStreamHandler
- - URLStreamHandlerFactory
- - URLStringHelper
- - USER_EXCEPTION
- - UShortSeqHelper
- - UShortSeqHolder
- - UTFDataFormatException
- - UndeclaredThrowableException
- - UnderlineAction
- - UndoManager
- - UndoableEdit
- - UndoableEditEvent
- - UndoableEditListener
- - UndoableEditSupport
- - UnexpectedException
- - UnicastRemoteObject
- - UnicodeBlock
- - UnionMember
- - UnionMemberHelper
- - UnknownEncoding
- - UnknownEncodingHelper
- - UnknownError
- - UnknownException
- - UnknownGroupException
- - UnknownHostException
- - UnknownHostException
- - UnknownObjectException
- - UnknownServiceException
- - UnknownTag
- - UnknownUserException
- - UnknownUserExceptionHelper
- - UnknownUserExceptionHolder
- - UnmappableCharacterException
- - UnmarshalException
- - UnmodifiableSetException
- - UnrecoverableKeyException
- - Unreferenced
- - UnresolvedAddressException
- - UnresolvedPermission
- - UnsatisfiedLinkError
- - UnsolicitedNotification
- - UnsolicitedNotificationEvent
- - UnsolicitedNotificationListener
- - UnsupportedAddressTypeException
- - UnsupportedAudioFileException
- - UnsupportedCallbackException
- - UnsupportedCharsetException
- - UnsupportedClassVersionError
- - UnsupportedEncodingException
- - UnsupportedFlavorException
- - UnsupportedLookAndFeelException
- - UnsupportedOperationException
- - UserException
- - Util
- - UtilDelegate
- - Utilities
- - VMID
- - VM_ABSTRACT
- - VM_CUSTOM
- - VM_NONE
- - VM_TRUNCATABLE
- - ValueBase
- - ValueBaseHelper
- - ValueBaseHolder
- - ValueFactory
- - ValueHandler
- - ValueMember
- - ValueMemberHelper
- - VariableHeightLayoutCache
- - Vector
- - VerifyError
- - VersionSpecHelper
- - VetoableChangeListener
- - VetoableChangeListenerProxy
- - VetoableChangeSupport
- - View
- - ViewFactory
- - ViewportLayout
- - ViewportUI
- - VirtualMachineError
- - Visibility
- - VisibilityHelper
- - VoiceStatus
- - Void
- - VolatileImage
- - WCharSeqHelper
- - WCharSeqHolder
- - WStringSeqHelper
- - WStringSeqHolder
- - WStringValueHelper
- - WeakHashMap
- - WeakReference
- - Window
- - WindowAdapter
- - WindowConstants
- - WindowEvent
- - WindowFocusListener
- - WindowListener
- - WindowStateListener
- - WrappedPlainView
- - WritableByteChannel
- - WritableRaster
- - WritableRenderedImage
- - WriteAbortedException
- - Writer
- - WrongAdapter
- - WrongAdapterHelper
- - WrongPolicy
- - WrongPolicyHelper
- - WrongTransaction
- - WrongTransactionHelper
- - WrongTransactionHolder
- - X500Principal
- - X500PrivateCredential
- - X509CRL
- - X509CRLEntry
- - X509CRLSelector
- - X509CertSelector
- - X509Certificate
- - X509Certificate
- - X509EncodedKeySpec
- - X509Extension
- - X509KeyManager
- - X509TrustManager
- - XAConnection
- - XADataSource
- - XAException
- - XAResource
- - XMLDecoder
- - XMLEncoder
- - XMLFilter
- - XMLFilterImpl
- - XMLFormatter
- - XMLReader
- - XMLReaderAdapter
- - XMLReaderFactory
- - Xid
- - ZipEntry
- - ZipException
- - ZipFile
- - ZipInputStream
- - ZipOutputStream
- - ZoneView
- - _BindingIteratorImplBase
- - _BindingIteratorStub
- - _DynAnyFactoryStub
- - _DynAnyStub
- - _DynArrayStub
- - _DynEnumStub
- - _DynFixedStub
- - _DynSequenceStub
- - _DynStructStub
- - _DynUnionStub
- - _DynValueStub
- - _IDLTypeStub
- - _NamingContextExtStub
- - _NamingContextImplBase
- - _NamingContextStub
- - _PolicyStub
- - _Remote_Stub
- - _ServantActivatorStub
- - _ServantLocatorStub
+ - ARG_IN
+ - ARG_INOUT
+ - ARG_OUT
+ - AWTError
+ - AWTEvent
+ - AWTEventListener
+ - AWTEventListenerProxy
+ - AWTEventMulticaster
+ - AWTException
+ - AWTKeyStroke
+ - AWTPermission
+ - AbstractAction
+ - AbstractBorder
+ - AbstractButton
+ - AbstractCellEditor
+ - AbstractCollection
+ - AbstractColorChooserPanel
+ - AbstractDocument
+ - AbstractFormatter
+ - AbstractFormatterFactory
+ - AbstractInterruptibleChannel
+ - AbstractLayoutCache
+ - AbstractList
+ - AbstractListModel
+ - AbstractMap
+ - AbstractMethodError
+ - AbstractPreferences
+ - AbstractSelectableChannel
+ - AbstractSelectionKey
+ - AbstractSelector
+ - AbstractSequentialList
+ - AbstractSet
+ - AbstractSpinnerModel
+ - AbstractTableModel
+ - AbstractUndoableEdit
+ - AbstractWriter
+ - AccessControlContext
+ - AccessControlException
+ - AccessController
+ - AccessException
+ - Accessible
+ - AccessibleAction
+ - AccessibleBundle
+ - AccessibleComponent
+ - AccessibleContext
+ - AccessibleEditableText
+ - AccessibleExtendedComponent
+ - AccessibleExtendedTable
+ - AccessibleHyperlink
+ - AccessibleHypertext
+ - AccessibleIcon
+ - AccessibleKeyBinding
+ - AccessibleObject
+ - AccessibleRelation
+ - AccessibleRelationSet
+ - AccessibleResourceBundle
+ - AccessibleRole
+ - AccessibleSelection
+ - AccessibleState
+ - AccessibleStateSet
+ - AccessibleTable
+ - AccessibleTableModelChange
+ - AccessibleText
+ - AccessibleValue
+ - AccountExpiredException
+ - Acl
+ - AclEntry
+ - AclNotFoundException
+ - Action
+ - ActionEvent
+ - ActionListener
+ - ActionMap
+ - ActionMapUIResource
+ - Activatable
+ - ActivateFailedException
+ - ActivationDesc
+ - ActivationException
+ - ActivationGroup
+ - ActivationGroupDesc
+ - ActivationGroupID
+ - ActivationGroup_Stub
+ - ActivationID
+ - ActivationInstantiator
+ - ActivationMonitor
+ - ActivationSystem
+ - Activator
+ - ActiveEvent
+ - ActiveValue
+ - AdapterActivator
+ - AdapterActivatorOperations
+ - AdapterAlreadyExists
+ - AdapterAlreadyExistsHelper
+ - AdapterInactive
+ - AdapterInactiveHelper
+ - AdapterNonExistent
+ - AdapterNonExistentHelper
+ - AddressHelper
+ - Adjustable
+ - AdjustmentEvent
+ - AdjustmentListener
+ - Adler32
+ - AffineTransform
+ - AffineTransformOp
+ - AlgorithmParameterGenerator
+ - AlgorithmParameterGeneratorSpi
+ - AlgorithmParameterSpec
+ - AlgorithmParameters
+ - AlgorithmParametersSpi
+ - AlignmentAction
+ - AllPermission
+ - AlphaComposite
+ - AlreadyBound
+ - AlreadyBoundException
+ - AlreadyBoundHelper
+ - AlreadyBoundHolder
+ - AlreadyConnectedException
+ - AncestorEvent
+ - AncestorListener
+ - Annotation
+ - Any
+ - AnyHolder
+ - AnySeqHelper
+ - AnySeqHelper
+ - AnySeqHolder
+ - AppConfigurationEntry
+ - Applet
+ - AppletContext
+ - AppletInitializer
+ - AppletStub
+ - ApplicationException
+ - Arc2D
+ - Area
+ - AreaAveragingScaleFilter
+ - ArithmeticException
+ - Array
+ - Array
+ - ArrayIndexOutOfBoundsException
+ - ArrayList
+ - ArrayStoreException
+ - Arrays
+ - AssertionError
+ - AsyncBoxView
+ - AsynchronousCloseException
+ - Attr
+ - Attribute
+ - Attribute
+ - Attribute
+ - Attribute
+ - Attribute
+ - AttributeContext
+ - AttributeException
+ - AttributeInUseException
+ - AttributeList
+ - AttributeList
+ - AttributeListImpl
+ - AttributeModificationException
+ - AttributeSet
+ - AttributeSet
+ - AttributeSetUtilities
+ - AttributeUndoableEdit
+ - AttributedCharacterIterator
+ - AttributedString
+ - Attributes
+ - Attributes
+ - Attributes
+ - AttributesImpl
+ - AudioClip
+ - AudioFileFormat
+ - AudioFileReader
+ - AudioFileWriter
+ - AudioFormat
+ - AudioInputStream
+ - AudioPermission
+ - AudioSystem
+ - AuthPermission
+ - AuthenticationException
+ - AuthenticationNotSupportedException
+ - Authenticator
+ - Autoscroll
+ - BAD_CONTEXT
+ - BAD_INV_ORDER
+ - BAD_OPERATION
+ - BAD_PARAM
+ - BAD_POLICY
+ - BAD_POLICY_TYPE
+ - BAD_POLICY_VALUE
+ - BAD_TYPECODE
+ - BCSIterator
+ - BCSSServiceProvider
+ - BYTE_ARRAY
+ - BackingStoreException
+ - BadKind
+ - BadLocationException
+ - BadPaddingException
+ - BandCombineOp
+ - BandedSampleModel
+ - BasicArrowButton
+ - BasicAttribute
+ - BasicAttributes
+ - BasicBorders
+ - BasicButtonListener
+ - BasicButtonUI
+ - BasicCaret
+ - BasicCheckBoxMenuItemUI
+ - BasicCheckBoxUI
+ - BasicColorChooserUI
+ - BasicComboBoxEditor
+ - BasicComboBoxRenderer
+ - BasicComboBoxUI
+ - BasicComboPopup
+ - BasicDesktopIconUI
+ - BasicDesktopPaneUI
+ - BasicDirectoryModel
+ - BasicEditorPaneUI
+ - BasicFileChooserUI
+ - BasicFormattedTextFieldUI
+ - BasicGraphicsUtils
+ - BasicHTML
+ - BasicHighlighter
+ - BasicIconFactory
+ - BasicInternalFrameTitlePane
+ - BasicInternalFrameUI
+ - BasicLabelUI
+ - BasicListUI
+ - BasicLookAndFeel
+ - BasicMenuBarUI
+ - BasicMenuItemUI
+ - BasicMenuUI
+ - BasicOptionPaneUI
+ - BasicPanelUI
+ - BasicPasswordFieldUI
+ - BasicPermission
+ - BasicPopupMenuSeparatorUI
+ - BasicPopupMenuUI
+ - BasicProgressBarUI
+ - BasicRadioButtonMenuItemUI
+ - BasicRadioButtonUI
+ - BasicRootPaneUI
+ - BasicScrollBarUI
+ - BasicScrollPaneUI
+ - BasicSeparatorUI
+ - BasicSliderUI
+ - BasicSpinnerUI
+ - BasicSplitPaneDivider
+ - BasicSplitPaneUI
+ - BasicStroke
+ - BasicTabbedPaneUI
+ - BasicTableHeaderUI
+ - BasicTableUI
+ - BasicTextAreaUI
+ - BasicTextFieldUI
+ - BasicTextPaneUI
+ - BasicTextUI
+ - BasicToggleButtonUI
+ - BasicToolBarSeparatorUI
+ - BasicToolBarUI
+ - BasicToolTipUI
+ - BasicTreeUI
+ - BasicViewportUI
+ - BatchUpdateException
+ - BeanContext
+ - BeanContextChild
+ - BeanContextChildComponentProxy
+ - BeanContextChildSupport
+ - BeanContextContainerProxy
+ - BeanContextEvent
+ - BeanContextMembershipEvent
+ - BeanContextMembershipListener
+ - BeanContextProxy
+ - BeanContextServiceAvailableEvent
+ - BeanContextServiceProvider
+ - BeanContextServiceProviderBeanInfo
+ - BeanContextServiceRevokedEvent
+ - BeanContextServiceRevokedListener
+ - BeanContextServices
+ - BeanContextServicesListener
+ - BeanContextServicesSupport
+ - BeanContextSupport
+ - BeanDescriptor
+ - BeanInfo
+ - Beans
+ - BeepAction
+ - BevelBorder
+ - BevelBorderUIResource
+ - Bias
+ - Bidi
+ - BigDecimal
+ - BigInteger
+ - BinaryRefAddr
+ - BindException
+ - Binding
+ - Binding
+ - BindingHelper
+ - BindingHolder
+ - BindingIterator
+ - BindingIteratorHelper
+ - BindingIteratorHolder
+ - BindingIteratorOperations
+ - BindingIteratorPOA
+ - BindingListHelper
+ - BindingListHolder
+ - BindingType
+ - BindingTypeHelper
+ - BindingTypeHolder
+ - BitSet
+ - Blob
+ - BlockView
+ - BoldAction
+ - Book
+ - Boolean
+ - BooleanControl
+ - BooleanHolder
+ - BooleanSeqHelper
+ - BooleanSeqHolder
+ - Border
+ - BorderFactory
+ - BorderLayout
+ - BorderUIResource
+ - BoundedRangeModel
+ - Bounds
+ - Bounds
+ - Box
+ - BoxLayout
+ - BoxPainter
+ - BoxView
+ - BoxedValueHelper
+ - BreakIterator
+ - Buffer
+ - BufferCapabilities
+ - BufferOverflowException
+ - BufferStrategy
+ - BufferUnderflowException
+ - BufferedImage
+ - BufferedImageFilter
+ - BufferedImageOp
+ - BufferedInputStream
+ - BufferedOutputStream
+ - BufferedReader
+ - BufferedWriter
+ - Button
+ - ButtonAreaLayout
+ - ButtonBorder
+ - ButtonBorder
+ - ButtonGroup
+ - ButtonModel
+ - ButtonUI
+ - Byte
+ - ByteArrayInputStream
+ - ByteArrayOutputStream
+ - ByteBuffer
+ - ByteChannel
+ - ByteHolder
+ - ByteLookupTable
+ - ByteOrder
+ - CDATASection
+ - CHAR_ARRAY
+ - CMMException
+ - COMM_FAILURE
+ - CRC32
+ - CRL
+ - CRLException
+ - CRLSelector
+ - CSS
+ - CTX_RESTRICT_SCOPE
+ - Calendar
+ - CallableStatement
+ - Callback
+ - CallbackHandler
+ - CancelablePrintJob
+ - CancelledKeyException
+ - CannotProceed
+ - CannotProceedException
+ - CannotProceedHelper
+ - CannotProceedHolder
+ - CannotRedoException
+ - CannotUndoException
+ - Canvas
+ - CardLayout
+ - Caret
+ - CaretEvent
+ - CaretListener
+ - CaretPolicy
+ - CellEditor
+ - CellEditorListener
+ - CellRendererPane
+ - CertPath
+ - CertPathBuilder
+ - CertPathBuilderException
+ - CertPathBuilderResult
+ - CertPathBuilderSpi
+ - CertPathParameters
+ - CertPathRep
+ - CertPathValidator
+ - CertPathValidatorException
+ - CertPathValidatorResult
+ - CertPathValidatorSpi
+ - CertSelector
+ - CertStore
+ - CertStoreException
+ - CertStoreParameters
+ - CertStoreSpi
+ - Certificate
+ - Certificate
+ - Certificate
+ - CertificateEncodingException
+ - CertificateEncodingException
+ - CertificateException
+ - CertificateException
+ - CertificateExpiredException
+ - CertificateExpiredException
+ - CertificateFactory
+ - CertificateFactorySpi
+ - CertificateNotYetValidException
+ - CertificateNotYetValidException
+ - CertificateParsingException
+ - CertificateParsingException
+ - CertificateRep
+ - ChangeEvent
+ - ChangeListener
+ - ChangedCharSetException
+ - Channel
+ - ChannelBinding
+ - Channels
+ - CharArrayReader
+ - CharArrayWriter
+ - CharBuffer
+ - CharConversionException
+ - CharHolder
+ - CharSeqHelper
+ - CharSeqHolder
+ - CharSequence
+ - Character
+ - CharacterAttribute
+ - CharacterCodingException
+ - CharacterConstants
+ - CharacterData
+ - CharacterIterator
+ - Charset
+ - CharsetDecoder
+ - CharsetEncoder
+ - CharsetProvider
+ - Checkbox
+ - CheckboxGroup
+ - CheckboxMenuItem
+ - CheckedInputStream
+ - CheckedOutputStream
+ - Checksum
+ - Choice
+ - ChoiceCallback
+ - ChoiceFormat
+ - Chromaticity
+ - Cipher
+ - CipherInputStream
+ - CipherOutputStream
+ - CipherSpi
+ - Class
+ - ClassCastException
+ - ClassCircularityError
+ - ClassDesc
+ - ClassFormatError
+ - ClassLoader
+ - ClassNotFoundException
+ - ClientRequestInfo
+ - ClientRequestInfoOperations
+ - ClientRequestInterceptor
+ - ClientRequestInterceptorOperations
+ - Clip
+ - Clipboard
+ - ClipboardOwner
+ - Clob
+ - CloneNotSupportedException
+ - Cloneable
+ - ClosedByInterruptException
+ - ClosedChannelException
+ - ClosedSelectorException
+ - CodeSets
+ - CodeSource
+ - Codec
+ - CodecFactory
+ - CodecFactoryHelper
+ - CodecFactoryOperations
+ - CodecOperations
+ - CoderMalfunctionError
+ - CoderResult
+ - CodingErrorAction
+ - CollationElementIterator
+ - CollationKey
+ - Collator
+ - Collection
+ - CollectionCertStoreParameters
+ - Collections
+ - Color
+ - ColorAttribute
+ - ColorChooserComponentFactory
+ - ColorChooserUI
+ - ColorConstants
+ - ColorConvertOp
+ - ColorModel
+ - ColorSelectionModel
+ - ColorSpace
+ - ColorSupported
+ - ColorType
+ - ColorUIResource
+ - ComboBoxEditor
+ - ComboBoxModel
+ - ComboBoxUI
+ - ComboPopup
+ - CommandEnvironment
+ - Comment
+ - CommunicationException
+ - Comparable
+ - Comparator
+ - Compiler
+ - CompletionStatus
+ - CompletionStatusHelper
+ - Component
+ - ComponentAdapter
+ - ComponentColorModel
+ - ComponentEvent
+ - ComponentIdHelper
+ - ComponentInputMap
+ - ComponentInputMapUIResource
+ - ComponentListener
+ - ComponentOrientation
+ - ComponentSampleModel
+ - ComponentUI
+ - ComponentView
+ - Composite
+ - CompositeContext
+ - CompositeName
+ - CompositeView
+ - CompoundBorder
+ - CompoundBorderUIResource
+ - CompoundControl
+ - CompoundEdit
+ - CompoundName
+ - Compression
+ - ConcurrentModificationException
+ - Configuration
+ - ConfigurationException
+ - ConfirmationCallback
+ - ConnectException
+ - ConnectException
+ - ConnectIOException
+ - Connection
+ - ConnectionEvent
+ - ConnectionEventListener
+ - ConnectionPendingException
+ - ConnectionPoolDataSource
+ - ConsoleHandler
+ - Constraints
+ - Constructor
+ - Container
+ - ContainerAdapter
+ - ContainerEvent
+ - ContainerListener
+ - ContainerOrderFocusTraversalPolicy
+ - Content
+ - ContentHandler
+ - ContentHandler
+ - ContentHandlerFactory
+ - ContentModel
+ - Context
+ - Context
+ - ContextList
+ - ContextNotEmptyException
+ - ContextualRenderedImageFactory
+ - Control
+ - Control
+ - ControlFactory
+ - ControllerEventListener
+ - ConvolveOp
+ - CookieHolder
+ - Copies
+ - CopiesSupported
+ - CopyAction
+ - CredentialExpiredException
+ - CropImageFilter
+ - CubicCurve2D
+ - Currency
+ - Current
+ - Current
+ - Current
+ - CurrentHelper
+ - CurrentHelper
+ - CurrentHelper
+ - CurrentHolder
+ - CurrentOperations
+ - CurrentOperations
+ - CurrentOperations
+ - Cursor
+ - CustomMarshal
+ - CustomValue
+ - Customizer
+ - CutAction
+ - DATA_CONVERSION
+ - DESKeySpec
+ - DESedeKeySpec
+ - DGC
+ - DHGenParameterSpec
+ - DHKey
+ - DHParameterSpec
+ - DHPrivateKey
+ - DHPrivateKeySpec
+ - DHPublicKey
+ - DHPublicKeySpec
+ - DOMException
+ - DOMImplementation
+ - DOMLocator
+ - DOMResult
+ - DOMSource
+ - DSAKey
+ - DSAKeyPairGenerator
+ - DSAParameterSpec
+ - DSAParams
+ - DSAPrivateKey
+ - DSAPrivateKeySpec
+ - DSAPublicKey
+ - DSAPublicKeySpec
+ - DTD
+ - DTDConstants
+ - DTDHandler
+ - DataBuffer
+ - DataBufferByte
+ - DataBufferDouble
+ - DataBufferFloat
+ - DataBufferInt
+ - DataBufferShort
+ - DataBufferUShort
+ - DataFlavor
+ - DataFormatException
+ - DataInput
+ - DataInputStream
+ - DataInputStream
+ - DataLine
+ - DataOutput
+ - DataOutputStream
+ - DataOutputStream
+ - DataSource
+ - DataTruncation
+ - DatabaseMetaData
+ - DatagramChannel
+ - DatagramPacket
+ - DatagramSocket
+ - DatagramSocketImpl
+ - DatagramSocketImplFactory
+ - Date
+ - Date
+ - DateEditor
+ - DateFormat
+ - DateFormatSymbols
+ - DateFormatter
+ - DateTimeAtCompleted
+ - DateTimeAtCreation
+ - DateTimeAtProcessing
+ - DateTimeSyntax
+ - DebugGraphics
+ - DecimalFormat
+ - DecimalFormatSymbols
+ - DeclHandler
+ - DefaultBoundedRangeModel
+ - DefaultButtonModel
+ - DefaultCaret
+ - DefaultCellEditor
+ - DefaultColorSelectionModel
+ - DefaultComboBoxModel
+ - DefaultDesktopManager
+ - DefaultEditor
+ - DefaultEditorKit
+ - DefaultFocusManager
+ - DefaultFocusTraversalPolicy
+ - DefaultFormatter
+ - DefaultFormatterFactory
+ - DefaultHandler
+ - DefaultHighlightPainter
+ - DefaultHighlighter
+ - DefaultKeyTypedAction
+ - DefaultKeyboardFocusManager
+ - DefaultListCellRenderer
+ - DefaultListModel
+ - DefaultListSelectionModel
+ - DefaultMenuLayout
+ - DefaultMetalTheme
+ - DefaultMutableTreeNode
+ - DefaultPersistenceDelegate
+ - DefaultSelectionType
+ - DefaultSingleSelectionModel
+ - DefaultStyledDocument
+ - DefaultTableCellRenderer
+ - DefaultTableColumnModel
+ - DefaultTableModel
+ - DefaultTextUI
+ - DefaultTreeCellEditor
+ - DefaultTreeCellRenderer
+ - DefaultTreeModel
+ - DefaultTreeSelectionModel
+ - DefinitionKind
+ - DefinitionKindHelper
+ - Deflater
+ - DeflaterOutputStream
+ - Delegate
+ - Delegate
+ - Delegate
+ - DelegationPermission
+ - DesignMode
+ - DesktopIconUI
+ - DesktopManager
+ - DesktopPaneUI
+ - Destination
+ - DestinationType
+ - DestroyFailedException
+ - Destroyable
+ - Dialog
+ - DialogType
+ - Dictionary
+ - DigestException
+ - DigestInputStream
+ - DigestOutputStream
+ - Dimension
+ - Dimension2D
+ - DimensionUIResource
+ - DirContext
+ - DirObjectFactory
+ - DirStateFactory
+ - DirectColorModel
+ - DirectoryManager
+ - DisplayMode
+ - DnDConstants
+ - Doc
+ - DocAttribute
+ - DocAttributeSet
+ - DocFlavor
+ - DocPrintJob
+ - Document
+ - Document
+ - DocumentBuilder
+ - DocumentBuilderFactory
+ - DocumentEvent
+ - DocumentFilter
+ - DocumentFragment
+ - DocumentHandler
+ - DocumentListener
+ - DocumentName
+ - DocumentParser
+ - DocumentType
+ - DomainCombiner
+ - DomainManager
+ - DomainManagerOperations
+ - Double
+ - Double
+ - Double
+ - Double
+ - Double
+ - Double
+ - Double
+ - Double
+ - Double
+ - DoubleBuffer
+ - DoubleHolder
+ - DoubleSeqHelper
+ - DoubleSeqHolder
+ - DragGestureEvent
+ - DragGestureListener
+ - DragGestureRecognizer
+ - DragSource
+ - DragSourceAdapter
+ - DragSourceContext
+ - DragSourceDragEvent
+ - DragSourceDropEvent
+ - DragSourceEvent
+ - DragSourceListener
+ - DragSourceMotionListener
+ - Driver
+ - DriverManager
+ - DriverPropertyInfo
+ - DropTarget
+ - DropTargetAdapter
+ - DropTargetAutoScroller
+ - DropTargetContext
+ - DropTargetDragEvent
+ - DropTargetDropEvent
+ - DropTargetEvent
+ - DropTargetListener
+ - DuplicateName
+ - DuplicateNameHelper
+ - DynAny
+ - DynAny
+ - DynAnyFactory
+ - DynAnyFactoryHelper
+ - DynAnyFactoryOperations
+ - DynAnyHelper
+ - DynAnyOperations
+ - DynAnySeqHelper
+ - DynArray
+ - DynArray
+ - DynArrayHelper
+ - DynArrayOperations
+ - DynEnum
+ - DynEnum
+ - DynEnumHelper
+ - DynEnumOperations
+ - DynFixed
+ - DynFixed
+ - DynFixedHelper
+ - DynFixedOperations
+ - DynSequence
+ - DynSequence
+ - DynSequenceHelper
+ - DynSequenceOperations
+ - DynStruct
+ - DynStruct
+ - DynStructHelper
+ - DynStructOperations
+ - DynUnion
+ - DynUnion
+ - DynUnionHelper
+ - DynUnionOperations
+ - DynValue
+ - DynValue
+ - DynValueBox
+ - DynValueBoxOperations
+ - DynValueCommon
+ - DynValueCommonOperations
+ - DynValueHelper
+ - DynValueOperations
+ - DynamicImplementation
+ - DynamicImplementation
+ - DynamicUtilTreeNode
+ - ENCODING_CDR_ENCAPS
+ - EOFException
+ - EditorKit
+ - Element
+ - Element
+ - Element
+ - ElementChange
+ - ElementEdit
+ - ElementIterator
+ - ElementSpec
+ - Ellipse2D
+ - EmptyBorder
+ - EmptyBorderUIResource
+ - EmptySelectionModel
+ - EmptyStackException
+ - EncodedKeySpec
+ - Encoder
+ - Encoding
+ - Encoding
+ - EncryptedPrivateKeyInfo
+ - Engineering
+ - Entity
+ - Entity
+ - EntityReference
+ - EntityResolver
+ - Entry
+ - EnumControl
+ - EnumSyntax
+ - Enumeration
+ - Environment
+ - Error
+ - ErrorHandler
+ - ErrorListener
+ - ErrorManager
+ - EtchedBorder
+ - EtchedBorderUIResource
+ - Event
+ - EventContext
+ - EventDirContext
+ - EventHandler
+ - EventListener
+ - EventListenerList
+ - EventListenerProxy
+ - EventObject
+ - EventQueue
+ - EventSetDescriptor
+ - EventType
+ - EventType
+ - Exception
+ - ExceptionInInitializerError
+ - ExceptionList
+ - ExceptionListener
+ - ExemptionMechanism
+ - ExemptionMechanismException
+ - ExemptionMechanismSpi
+ - ExpandVetoException
+ - ExportException
+ - Expression
+ - ExtendedRequest
+ - ExtendedResponse
+ - Externalizable
+ - FREE_MEM
+ - FactoryConfigurationError
+ - FailedLoginException
+ - FeatureDescriptor
+ - Fidelity
+ - Field
+ - Field
+ - Field
+ - Field
+ - Field
+ - FieldBorder
+ - FieldNameHelper
+ - FieldNameHelper
+ - FieldPosition
+ - FieldView
+ - File
+ - FileCacheImageInputStream
+ - FileCacheImageOutputStream
+ - FileChannel
+ - FileChooserUI
+ - FileDescriptor
+ - FileDialog
+ - FileFilter
+ - FileFilter
+ - FileHandler
+ - FileIcon16
+ - FileImageInputStream
+ - FileImageOutputStream
+ - FileInputStream
+ - FileLock
+ - FileLockInterruptionException
+ - FileNameMap
+ - FileNotFoundException
+ - FileOutputStream
+ - FilePermission
+ - FileReader
+ - FileSystemView
+ - FileView
+ - FileWriter
+ - FilenameFilter
+ - Filler
+ - Filter
+ - Filter
+ - FilterBypass
+ - FilterBypass
+ - FilterInputStream
+ - FilterOutputStream
+ - FilterReader
+ - FilterWriter
+ - FilteredImageSource
+ - Finishings
+ - FixedHeightLayoutCache
+ - FixedHolder
+ - FlatteningPathIterator
+ - FlavorException
+ - FlavorMap
+ - FlavorTable
+ - FlipContents
+ - Float
+ - Float
+ - Float
+ - Float
+ - Float
+ - Float
+ - Float
+ - Float
+ - Float
+ - FloatBuffer
+ - FloatControl
+ - FloatHolder
+ - FloatSeqHelper
+ - FloatSeqHolder
+ - FlowLayout
+ - FlowStrategy
+ - FlowView
+ - Flush3DBorder
+ - FocusAdapter
+ - FocusEvent
+ - FocusListener
+ - FocusManager
+ - FocusTraversalPolicy
+ - FolderIcon16
+ - Font
+ - FontAttribute
+ - FontConstants
+ - FontFamilyAction
+ - FontFormatException
+ - FontMetrics
+ - FontRenderContext
+ - FontSizeAction
+ - FontUIResource
+ - ForegroundAction
+ - FormView
+ - Format
+ - FormatConversionProvider
+ - FormatMismatch
+ - FormatMismatchHelper
+ - Formatter
+ - ForwardRequest
+ - ForwardRequest
+ - ForwardRequestHelper
+ - ForwardRequestHelper
+ - Frame
+ - GSSContext
+ - GSSCredential
+ - GSSException
+ - GSSManager
+ - GSSName
+ - GZIPInputStream
+ - GZIPOutputStream
+ - GapContent
+ - GatheringByteChannel
+ - GeneralPath
+ - GeneralSecurityException
+ - GetField
+ - GlyphJustificationInfo
+ - GlyphMetrics
+ - GlyphPainter
+ - GlyphVector
+ - GlyphView
+ - GradientPaint
+ - GraphicAttribute
+ - Graphics
+ - Graphics2D
+ - GraphicsConfigTemplate
+ - GraphicsConfiguration
+ - GraphicsDevice
+ - GraphicsEnvironment
+ - GrayFilter
+ - GregorianCalendar
+ - GridBagConstraints
+ - GridBagLayout
+ - GridLayout
+ - Group
+ - Guard
+ - GuardedObject
+ - HTML
+ - HTMLDocument
+ - HTMLEditorKit
+ - HTMLEditorKit
+ - HTMLEditorKit
+ - HTMLFrameHyperlinkEvent
+ - HTMLWriter
+ - Handler
+ - HandlerBase
+ - HandshakeCompletedEvent
+ - HandshakeCompletedListener
+ - HasControls
+ - HashAttributeSet
+ - HashDocAttributeSet
+ - HashMap
+ - HashPrintJobAttributeSet
+ - HashPrintRequestAttributeSet
+ - HashPrintServiceAttributeSet
+ - HashSet
+ - Hashtable
+ - HeadlessException
+ - HierarchyBoundsAdapter
+ - HierarchyBoundsListener
+ - HierarchyEvent
+ - HierarchyListener
+ - Highlight
+ - HighlightPainter
+ - Highlighter
+ - HostnameVerifier
+ - HttpURLConnection
+ - HttpsURLConnection
+ - HyperlinkEvent
+ - HyperlinkListener
+ - ICC_ColorSpace
+ - ICC_Profile
+ - ICC_ProfileGray
+ - ICC_ProfileRGB
+ - IDLEntity
+ - IDLType
+ - IDLTypeHelper
+ - IDLTypeOperations
+ - ID_ASSIGNMENT_POLICY_ID
+ - ID_UNIQUENESS_POLICY_ID
+ - IIOByteBuffer
+ - IIOException
+ - IIOImage
+ - IIOInvalidTreeException
+ - IIOMetadata
+ - IIOMetadataController
+ - IIOMetadataFormat
+ - IIOMetadataFormatImpl
+ - IIOMetadataNode
+ - IIOParam
+ - IIOParamController
+ - IIOReadProgressListener
+ - IIOReadUpdateListener
+ - IIOReadWarningListener
+ - IIORegistry
+ - IIOServiceProvider
+ - IIOWriteProgressListener
+ - IIOWriteWarningListener
+ - IMPLICIT_ACTIVATION_POLICY_ID
+ - IMP_LIMIT
+ - INITIALIZE
+ - INPUT_STREAM
+ - INTERNAL
+ - INTF_REPOS
+ - INVALID_TRANSACTION
+ - INV_FLAG
+ - INV_IDENT
+ - INV_OBJREF
+ - INV_POLICY
+ - IOException
+ - IOR
+ - IORHelper
+ - IORHolder
+ - IORInfo
+ - IORInfoOperations
+ - IORInterceptor
+ - IORInterceptorOperations
+ - IRObject
+ - IRObjectOperations
+ - ISO
+ - Icon
+ - IconUIResource
+ - IconView
+ - IdAssignmentPolicy
+ - IdAssignmentPolicyOperations
+ - IdAssignmentPolicyValue
+ - IdUniquenessPolicy
+ - IdUniquenessPolicyOperations
+ - IdUniquenessPolicyValue
+ - IdentifierHelper
+ - Identity
+ - IdentityHashMap
+ - IdentityScope
+ - IllegalAccessError
+ - IllegalAccessException
+ - IllegalArgumentException
+ - IllegalBlockSizeException
+ - IllegalBlockingModeException
+ - IllegalCharsetNameException
+ - IllegalComponentStateException
+ - IllegalMonitorStateException
+ - IllegalPathStateException
+ - IllegalSelectorException
+ - IllegalStateException
+ - IllegalThreadStateException
+ - Image
+ - ImageCapabilities
+ - ImageConsumer
+ - ImageFilter
+ - ImageGraphicAttribute
+ - ImageIO
+ - ImageIcon
+ - ImageInputStream
+ - ImageInputStreamImpl
+ - ImageInputStreamSpi
+ - ImageObserver
+ - ImageOutputStream
+ - ImageOutputStreamImpl
+ - ImageOutputStreamSpi
+ - ImageProducer
+ - ImageReadParam
+ - ImageReader
+ - ImageReaderSpi
+ - ImageReaderWriterSpi
+ - ImageTranscoder
+ - ImageTranscoderSpi
+ - ImageTypeSpecifier
+ - ImageView
+ - ImageWriteParam
+ - ImageWriter
+ - ImageWriterSpi
+ - ImagingOpException
+ - ImplicitActivationPolicy
+ - ImplicitActivationPolicyOperations
+ - ImplicitActivationPolicyValue
+ - IncompatibleClassChangeError
+ - InconsistentTypeCode
+ - InconsistentTypeCode
+ - InconsistentTypeCodeHelper
+ - IndexColorModel
+ - IndexOutOfBoundsException
+ - IndexedPropertyDescriptor
+ - IndirectionException
+ - Inet4Address
+ - Inet6Address
+ - InetAddress
+ - InetSocketAddress
+ - Inflater
+ - InflaterInputStream
+ - Info
+ - Info
+ - Info
+ - Info
+ - Info
+ - InheritableThreadLocal
+ - InitialContext
+ - InitialContextFactory
+ - InitialContextFactoryBuilder
+ - InitialDirContext
+ - InitialLdapContext
+ - InlineView
+ - InputContext
+ - InputEvent
+ - InputMap
+ - InputMapUIResource
+ - InputMethod
+ - InputMethodContext
+ - InputMethodDescriptor
+ - InputMethodEvent
+ - InputMethodHighlight
+ - InputMethodListener
+ - InputMethodRequests
+ - InputSource
+ - InputStream
+ - InputStream
+ - InputStream
+ - InputStreamReader
+ - InputSubset
+ - InputVerifier
+ - InsertBreakAction
+ - InsertContentAction
+ - InsertHTMLTextAction
+ - InsertTabAction
+ - Insets
+ - InsetsUIResource
+ - InstantiationError
+ - InstantiationException
+ - Instrument
+ - InsufficientResourcesException
+ - IntBuffer
+ - IntHolder
+ - Integer
+ - IntegerSyntax
+ - Interceptor
+ - InterceptorOperations
+ - InternalError
+ - InternalFrameAdapter
+ - InternalFrameBorder
+ - InternalFrameEvent
+ - InternalFrameFocusTraversalPolicy
+ - InternalFrameListener
+ - InternalFrameUI
+ - InternationalFormatter
+ - InterruptedException
+ - InterruptedIOException
+ - InterruptedNamingException
+ - InterruptibleChannel
+ - IntrospectionException
+ - Introspector
+ - Invalid
+ - InvalidAddress
+ - InvalidAddressHelper
+ - InvalidAddressHolder
+ - InvalidAlgorithmParameterException
+ - InvalidAttributeIdentifierException
+ - InvalidAttributeValueException
+ - InvalidAttributesException
+ - InvalidClassException
+ - InvalidDnDOperationException
+ - InvalidKeyException
+ - InvalidKeySpecException
+ - InvalidMarkException
+ - InvalidMidiDataException
+ - InvalidName
+ - InvalidName
+ - InvalidName
+ - InvalidNameException
+ - InvalidNameHelper
+ - InvalidNameHelper
+ - InvalidNameHolder
+ - InvalidObjectException
+ - InvalidParameterException
+ - InvalidParameterSpecException
+ - InvalidPolicy
+ - InvalidPolicyHelper
+ - InvalidPreferencesFormatException
+ - InvalidSearchControlsException
+ - InvalidSearchFilterException
+ - InvalidSeq
+ - InvalidSlot
+ - InvalidSlotHelper
+ - InvalidTransactionException
+ - InvalidTypeForEncoding
+ - InvalidTypeForEncodingHelper
+ - InvalidValue
+ - InvalidValue
+ - InvalidValueHelper
+ - InvocationEvent
+ - InvocationHandler
+ - InvocationTargetException
+ - InvokeHandler
+ - IstringHelper
+ - ItalicAction
+ - ItemEvent
+ - ItemListener
+ - ItemSelectable
+ - Iterator
+ - Iterator
+ - IvParameterSpec
+ - JApplet
+ - JButton
+ - JCheckBox
+ - JCheckBoxMenuItem
+ - JColorChooser
+ - JComboBox
+ - JComponent
+ - JDesktopIcon
+ - JDesktopPane
+ - JDialog
+ - JEditorPane
+ - JFileChooser
+ - JFormattedTextField
+ - JFrame
+ - JIS
+ - JInternalFrame
+ - JLabel
+ - JLayeredPane
+ - JList
+ - JMenu
+ - JMenuBar
+ - JMenuItem
+ - JOptionPane
+ - JPEGHuffmanTable
+ - JPEGImageReadParam
+ - JPEGImageWriteParam
+ - JPEGQTable
+ - JPanel
+ - JPasswordField
+ - JPopupMenu
+ - JProgressBar
+ - JRadioButton
+ - JRadioButtonMenuItem
+ - JRootPane
+ - JScrollBar
+ - JScrollPane
+ - JSeparator
+ - JSlider
+ - JSpinner
+ - JSplitPane
+ - JTabbedPane
+ - JTable
+ - JTableHeader
+ - JTextArea
+ - JTextComponent
+ - JTextField
+ - JTextPane
+ - JToggleButton
+ - JToolBar
+ - JToolTip
+ - JTree
+ - JViewport
+ - JWindow
+ - JarEntry
+ - JarException
+ - JarFile
+ - JarInputStream
+ - JarOutputStream
+ - JarURLConnection
+ - JobAttributes
+ - JobHoldUntil
+ - JobImpressions
+ - JobImpressionsCompleted
+ - JobImpressionsSupported
+ - JobKOctets
+ - JobKOctetsProcessed
+ - JobKOctetsSupported
+ - JobMediaSheets
+ - JobMediaSheetsCompleted
+ - JobMediaSheetsSupported
+ - JobMessageFromOperator
+ - JobName
+ - JobOriginatingUserName
+ - JobPriority
+ - JobPrioritySupported
+ - JobSheets
+ - JobState
+ - JobStateReason
+ - JobStateReasons
+ - KerberosKey
+ - KerberosPrincipal
+ - KerberosTicket
+ - Kernel
+ - Key
+ - Key
+ - KeyAdapter
+ - KeyAgreement
+ - KeyAgreementSpi
+ - KeyBinding
+ - KeyEvent
+ - KeyEventDispatcher
+ - KeyEventPostProcessor
+ - KeyException
+ - KeyFactory
+ - KeyFactorySpi
+ - KeyGenerator
+ - KeyGeneratorSpi
+ - KeyListener
+ - KeyManagementException
+ - KeyManager
+ - KeyManagerFactory
+ - KeyManagerFactorySpi
+ - KeyPair
+ - KeyPairGenerator
+ - KeyPairGeneratorSpi
+ - KeySelectionManager
+ - KeySpec
+ - KeyStore
+ - KeyStoreException
+ - KeyStoreSpi
+ - KeyStroke
+ - KeyboardFocusManager
+ - Keymap
+ - LDAPCertStoreParameters
+ - LIFESPAN_POLICY_ID
+ - LOCATION_FORWARD
+ - Label
+ - LabelUI
+ - LabelView
+ - LanguageCallback
+ - LastOwnerException
+ - LayerPainter
+ - LayeredHighlighter
+ - LayoutFocusTraversalPolicy
+ - LayoutManager
+ - LayoutManager2
+ - LayoutQueue
+ - LazyInputMap
+ - LazyValue
+ - LdapContext
+ - LdapReferralException
+ - Lease
+ - Level
+ - LexicalHandler
+ - LifespanPolicy
+ - LifespanPolicyOperations
+ - LifespanPolicyValue
+ - LimitExceededException
+ - Line
+ - Line2D
+ - LineBorder
+ - LineBorderUIResource
+ - LineBreakMeasurer
+ - LineEvent
+ - LineListener
+ - LineMetrics
+ - LineNumberInputStream
+ - LineNumberReader
+ - LineUnavailableException
+ - LinkController
+ - LinkException
+ - LinkLoopException
+ - LinkRef
+ - LinkageError
+ - LinkedHashMap
+ - LinkedHashSet
+ - LinkedList
+ - List
+ - List
+ - ListCellRenderer
+ - ListDataEvent
+ - ListDataListener
+ - ListEditor
+ - ListIterator
+ - ListModel
+ - ListPainter
+ - ListResourceBundle
+ - ListSelectionEvent
+ - ListSelectionListener
+ - ListSelectionModel
+ - ListUI
+ - ListView
+ - LoaderHandler
+ - LocalObject
+ - Locale
+ - LocateRegistry
+ - Locator
+ - LocatorImpl
+ - LogManager
+ - LogRecord
+ - LogStream
+ - Logger
+ - LoggingPermission
+ - LoginContext
+ - LoginException
+ - LoginModule
+ - LoginModuleControlFlag
+ - Long
+ - LongBuffer
+ - LongHolder
+ - LongLongSeqHelper
+ - LongLongSeqHolder
+ - LongSeqHelper
+ - LongSeqHolder
+ - LookAndFeel
+ - LookAndFeelInfo
+ - LookupOp
+ - LookupTable
+ - MARSHAL
+ - Mac
+ - MacSpi
+ - MalformedInputException
+ - MalformedLinkException
+ - MalformedURLException
+ - ManagerFactoryParameters
+ - Manifest
+ - Map
+ - MapMode
+ - MappedByteBuffer
+ - MarginBorder
+ - MarshalException
+ - MarshalledObject
+ - MaskFormatter
+ - Matcher
+ - Math
+ - MatteBorder
+ - MatteBorderUIResource
+ - Media
+ - MediaName
+ - MediaPrintableArea
+ - MediaSize
+ - MediaSizeName
+ - MediaTracker
+ - MediaTray
+ - MediaType
+ - Member
+ - MemoryCacheImageInputStream
+ - MemoryCacheImageOutputStream
+ - MemoryHandler
+ - MemoryImageSource
+ - Menu
+ - MenuBar
+ - MenuBarBorder
+ - MenuBarBorder
+ - MenuBarUI
+ - MenuComponent
+ - MenuContainer
+ - MenuDragMouseEvent
+ - MenuDragMouseListener
+ - MenuElement
+ - MenuEvent
+ - MenuItem
+ - MenuItemBorder
+ - MenuItemUI
+ - MenuKeyEvent
+ - MenuKeyListener
+ - MenuListener
+ - MenuSelectionManager
+ - MenuShortcut
+ - MessageDigest
+ - MessageDigestSpi
+ - MessageFormat
+ - MessageProp
+ - MetaEventListener
+ - MetaMessage
+ - MetalBorders
+ - MetalButtonUI
+ - MetalCheckBoxIcon
+ - MetalCheckBoxUI
+ - MetalComboBoxButton
+ - MetalComboBoxEditor
+ - MetalComboBoxIcon
+ - MetalComboBoxUI
+ - MetalDesktopIconUI
+ - MetalFileChooserUI
+ - MetalIconFactory
+ - MetalInternalFrameTitlePane
+ - MetalInternalFrameUI
+ - MetalLabelUI
+ - MetalLookAndFeel
+ - MetalPopupMenuSeparatorUI
+ - MetalProgressBarUI
+ - MetalRadioButtonUI
+ - MetalRootPaneUI
+ - MetalScrollBarUI
+ - MetalScrollButton
+ - MetalScrollPaneUI
+ - MetalSeparatorUI
+ - MetalSliderUI
+ - MetalSplitPaneUI
+ - MetalTabbedPaneUI
+ - MetalTextFieldUI
+ - MetalTheme
+ - MetalToggleButtonUI
+ - MetalToolBarUI
+ - MetalToolTipUI
+ - MetalTreeUI
+ - Method
+ - MethodDescriptor
+ - MidiChannel
+ - MidiDevice
+ - MidiDeviceProvider
+ - MidiEvent
+ - MidiFileFormat
+ - MidiFileReader
+ - MidiFileWriter
+ - MidiMessage
+ - MidiSystem
+ - MidiUnavailableException
+ - MimeTypeParseException
+ - MinimalHTMLWriter
+ - MissingResourceException
+ - Mixer
+ - MixerProvider
+ - ModificationItem
+ - Modifier
+ - MouseAdapter
+ - MouseDragGestureRecognizer
+ - MouseEvent
+ - MouseInputAdapter
+ - MouseInputListener
+ - MouseListener
+ - MouseMotionAdapter
+ - MouseMotionListener
+ - MouseWheelEvent
+ - MouseWheelListener
+ - MultiButtonUI
+ - MultiColorChooserUI
+ - MultiComboBoxUI
+ - MultiDesktopIconUI
+ - MultiDesktopPaneUI
+ - MultiDoc
+ - MultiDocPrintJob
+ - MultiDocPrintService
+ - MultiFileChooserUI
+ - MultiInternalFrameUI
+ - MultiLabelUI
+ - MultiListUI
+ - MultiLookAndFeel
+ - MultiMenuBarUI
+ - MultiMenuItemUI
+ - MultiOptionPaneUI
+ - MultiPanelUI
+ - MultiPixelPackedSampleModel
+ - MultiPopupMenuUI
+ - MultiProgressBarUI
+ - MultiRootPaneUI
+ - MultiScrollBarUI
+ - MultiScrollPaneUI
+ - MultiSeparatorUI
+ - MultiSliderUI
+ - MultiSpinnerUI
+ - MultiSplitPaneUI
+ - MultiTabbedPaneUI
+ - MultiTableHeaderUI
+ - MultiTableUI
+ - MultiTextUI
+ - MultiToolBarUI
+ - MultiToolTipUI
+ - MultiTreeUI
+ - MultiViewportUI
+ - MulticastSocket
+ - MultipleComponentProfileHelper
+ - MultipleComponentProfileHolder
+ - MultipleDocumentHandling
+ - MultipleDocumentHandlingType
+ - MultipleMaster
+ - MutableAttributeSet
+ - MutableComboBoxModel
+ - MutableTreeNode
+ - NA
+ - NO_IMPLEMENT
+ - NO_MEMORY
+ - NO_PERMISSION
+ - NO_RESOURCES
+ - NO_RESPONSE
+ - NVList
+ - Name
+ - Name
+ - NameAlreadyBoundException
+ - NameCallback
+ - NameClassPair
+ - NameComponent
+ - NameComponentHelper
+ - NameComponentHolder
+ - NameDynAnyPair
+ - NameDynAnyPairHelper
+ - NameDynAnyPairSeqHelper
+ - NameHelper
+ - NameHolder
+ - NameNotFoundException
+ - NameParser
+ - NameValuePair
+ - NameValuePair
+ - NameValuePairHelper
+ - NameValuePairHelper
+ - NameValuePairSeqHelper
+ - NamedNodeMap
+ - NamedValue
+ - NamespaceChangeListener
+ - NamespaceSupport
+ - Naming
+ - NamingContext
+ - NamingContextExt
+ - NamingContextExtHelper
+ - NamingContextExtHolder
+ - NamingContextExtOperations
+ - NamingContextExtPOA
+ - NamingContextHelper
+ - NamingContextHolder
+ - NamingContextOperations
+ - NamingContextPOA
+ - NamingEnumeration
+ - NamingEvent
+ - NamingException
+ - NamingExceptionEvent
+ - NamingListener
+ - NamingManager
+ - NamingSecurityException
+ - NavigationFilter
+ - NegativeArraySizeException
+ - NetPermission
+ - NetworkInterface
+ - NoClassDefFoundError
+ - NoConnectionPendingException
+ - NoContext
+ - NoContextHelper
+ - NoInitialContextException
+ - NoPermissionException
+ - NoRouteToHostException
+ - NoServant
+ - NoServantHelper
+ - NoSuchAlgorithmException
+ - NoSuchAttributeException
+ - NoSuchElementException
+ - NoSuchFieldError
+ - NoSuchFieldException
+ - NoSuchMethodError
+ - NoSuchMethodException
+ - NoSuchObjectException
+ - NoSuchPaddingException
+ - NoSuchProviderException
+ - Node
+ - NodeChangeEvent
+ - NodeChangeListener
+ - NodeDimensions
+ - NodeList
+ - NonReadableChannelException
+ - NonWritableChannelException
+ - NoninvertibleTransformException
+ - NotActiveException
+ - NotBoundException
+ - NotContextException
+ - NotEmpty
+ - NotEmptyHelper
+ - NotEmptyHolder
+ - NotFound
+ - NotFoundHelper
+ - NotFoundHolder
+ - NotFoundReason
+ - NotFoundReasonHelper
+ - NotFoundReasonHolder
+ - NotOwnerException
+ - NotSerializableException
+ - NotYetBoundException
+ - NotYetConnectedException
+ - Notation
+ - NullCipher
+ - NullPointerException
+ - Number
+ - NumberEditor
+ - NumberFormat
+ - NumberFormatException
+ - NumberFormatter
+ - NumberOfDocuments
+ - NumberOfInterveningJobs
+ - NumberUp
+ - NumberUpSupported
+ - NumericShaper
+ - OBJECT_NOT_EXIST
+ - OBJ_ADAPTER
+ - OMGVMCID
+ - ORB
+ - ORB
+ - ORBInitInfo
+ - ORBInitInfoOperations
+ - ORBInitializer
+ - ORBInitializerOperations
+ - ObjID
+ - Object
+ - Object
+ - ObjectAlreadyActive
+ - ObjectAlreadyActiveHelper
+ - ObjectChangeListener
+ - ObjectFactory
+ - ObjectFactoryBuilder
+ - ObjectHelper
+ - ObjectHolder
+ - ObjectIdHelper
+ - ObjectImpl
+ - ObjectImpl
+ - ObjectInput
+ - ObjectInputStream
+ - ObjectInputValidation
+ - ObjectNotActive
+ - ObjectNotActiveHelper
+ - ObjectOutput
+ - ObjectOutputStream
+ - ObjectStreamClass
+ - ObjectStreamConstants
+ - ObjectStreamException
+ - ObjectStreamField
+ - ObjectView
+ - Observable
+ - Observer
+ - OctetSeqHelper
+ - OctetSeqHolder
+ - Oid
+ - OpenType
+ - Operation
+ - OperationNotSupportedException
+ - Option
+ - OptionDialogBorder
+ - OptionPaneUI
+ - OptionalDataException
+ - OrientationRequested
+ - OrientationRequestedType
+ - OriginType
+ - Other
+ - OutOfMemoryError
+ - OutputDeviceAssigned
+ - OutputKeys
+ - OutputStream
+ - OutputStream
+ - OutputStream
+ - OutputStreamWriter
+ - OverlappingFileLockException
+ - OverlayLayout
+ - Owner
+ - PBEKey
+ - PBEKeySpec
+ - PBEParameterSpec
+ - PDLOverrideSupported
+ - PERSIST_STORE
+ - PKCS8EncodedKeySpec
+ - PKIXBuilderParameters
+ - PKIXCertPathBuilderResult
+ - PKIXCertPathChecker
+ - PKIXCertPathValidatorResult
+ - PKIXParameters
+ - POA
+ - POAHelper
+ - POAManager
+ - POAManagerOperations
+ - POAOperations
+ - PRIVATE_MEMBER
+ - PSSParameterSpec
+ - PUBLIC_MEMBER
+ - Package
+ - PackedColorModel
+ - PageAttributes
+ - PageFormat
+ - PageRanges
+ - Pageable
+ - PagesPerMinute
+ - PagesPerMinuteColor
+ - Paint
+ - PaintContext
+ - PaintEvent
+ - PaletteBorder
+ - PaletteCloseIcon
+ - Panel
+ - PanelUI
+ - Paper
+ - ParagraphAttribute
+ - ParagraphConstants
+ - ParagraphView
+ - ParagraphView
+ - Parameter
+ - ParameterBlock
+ - ParameterDescriptor
+ - ParameterMetaData
+ - ParameterMode
+ - ParameterModeHelper
+ - ParameterModeHolder
+ - ParseException
+ - ParsePosition
+ - Parser
+ - Parser
+ - Parser
+ - ParserAdapter
+ - ParserCallback
+ - ParserConfigurationException
+ - ParserDelegator
+ - ParserFactory
+ - PartialResultException
+ - PasswordAuthentication
+ - PasswordCallback
+ - PasswordView
+ - PasteAction
+ - Patch
+ - PathIterator
+ - Pattern
+ - PatternSyntaxException
+ - Permission
+ - Permission
+ - PermissionCollection
+ - Permissions
+ - PersistenceDelegate
+ - PhantomReference
+ - Pipe
+ - PipedInputStream
+ - PipedOutputStream
+ - PipedReader
+ - PipedWriter
+ - PixelGrabber
+ - PixelInterleavedSampleModel
+ - PlainDocument
+ - PlainView
+ - Point
+ - Point2D
+ - Policy
+ - Policy
+ - Policy
+ - PolicyError
+ - PolicyErrorCodeHelper
+ - PolicyErrorHelper
+ - PolicyErrorHolder
+ - PolicyFactory
+ - PolicyFactoryOperations
+ - PolicyHelper
+ - PolicyHolder
+ - PolicyListHelper
+ - PolicyListHolder
+ - PolicyNode
+ - PolicyOperations
+ - PolicyQualifierInfo
+ - PolicyTypeHelper
+ - Polygon
+ - PooledConnection
+ - Popup
+ - PopupFactory
+ - PopupMenu
+ - PopupMenuBorder
+ - PopupMenuEvent
+ - PopupMenuListener
+ - PopupMenuUI
+ - Port
+ - PortUnreachableException
+ - PortableRemoteObject
+ - PortableRemoteObjectDelegate
+ - Position
+ - PreferenceChangeEvent
+ - PreferenceChangeListener
+ - Preferences
+ - PreferencesFactory
+ - PreparedStatement
+ - PresentationDirection
+ - Principal
+ - Principal
+ - PrincipalHolder
+ - PrintEvent
+ - PrintException
+ - PrintGraphics
+ - PrintJob
+ - PrintJobAdapter
+ - PrintJobAttribute
+ - PrintJobAttributeEvent
+ - PrintJobAttributeListener
+ - PrintJobAttributeSet
+ - PrintJobEvent
+ - PrintJobListener
+ - PrintQuality
+ - PrintQualityType
+ - PrintRequestAttribute
+ - PrintRequestAttributeSet
+ - PrintService
+ - PrintServiceAttribute
+ - PrintServiceAttributeEvent
+ - PrintServiceAttributeListener
+ - PrintServiceAttributeSet
+ - PrintServiceLookup
+ - PrintStream
+ - PrintWriter
+ - Printable
+ - PrinterAbortException
+ - PrinterException
+ - PrinterGraphics
+ - PrinterIOException
+ - PrinterInfo
+ - PrinterIsAcceptingJobs
+ - PrinterJob
+ - PrinterLocation
+ - PrinterMakeAndModel
+ - PrinterMessageFromOperator
+ - PrinterMoreInfo
+ - PrinterMoreInfoManufacturer
+ - PrinterName
+ - PrinterResolution
+ - PrinterState
+ - PrinterStateReason
+ - PrinterStateReasons
+ - PrinterURI
+ - PrivateCredentialPermission
+ - PrivateKey
+ - PrivilegedAction
+ - PrivilegedActionException
+ - PrivilegedExceptionAction
+ - Process
+ - ProcessingInstruction
+ - ProfileDataException
+ - ProfileIdHelper
+ - ProgressBarUI
+ - ProgressMonitor
+ - ProgressMonitorInputStream
+ - Properties
+ - PropertyChangeEvent
+ - PropertyChangeListener
+ - PropertyChangeListenerProxy
+ - PropertyChangeSupport
+ - PropertyDescriptor
+ - PropertyEditor
+ - PropertyEditorManager
+ - PropertyEditorSupport
+ - PropertyPermission
+ - PropertyResourceBundle
+ - PropertyVetoException
+ - ProtectionDomain
+ - ProtocolException
+ - Provider
+ - ProviderException
+ - Proxy
+ - ProxyLazyValue
+ - PublicKey
+ - PushbackInputStream
+ - PushbackReader
+ - PutField
+ - QuadCurve2D
+ - QueuedJobCount
+ - RC2ParameterSpec
+ - RC5ParameterSpec
+ - READER
+ - REQUEST_PROCESSING_POLICY_ID
+ - RGBImageFilter
+ - RMIClassLoader
+ - RMIClassLoaderSpi
+ - RMIClientSocketFactory
+ - RMIFailureHandler
+ - RMISecurityException
+ - RMISecurityManager
+ - RMIServerSocketFactory
+ - RMISocketFactory
+ - RSAKey
+ - RSAKeyGenParameterSpec
+ - RSAMultiPrimePrivateCrtKey
+ - RSAMultiPrimePrivateCrtKeySpec
+ - RSAOtherPrimeInfo
+ - RSAPrivateCrtKey
+ - RSAPrivateCrtKeySpec
+ - RSAPrivateKey
+ - RSAPrivateKeySpec
+ - RSAPublicKey
+ - RSAPublicKeySpec
+ - RTFEditorKit
+ - RadioButtonBorder
+ - Random
+ - RandomAccess
+ - RandomAccessFile
+ - Raster
+ - RasterFormatException
+ - RasterOp
+ - ReadOnlyBufferException
+ - ReadableByteChannel
+ - Reader
+ - Receiver
+ - Rectangle
+ - Rectangle2D
+ - RectangularShape
+ - Ref
+ - RefAddr
+ - Reference
+ - Reference
+ - ReferenceQueue
+ - ReferenceUriSchemesSupported
+ - Referenceable
+ - ReferralException
+ - ReflectPermission
+ - RefreshFailedException
+ - Refreshable
+ - RegisterableService
+ - Registry
+ - RegistryHandler
+ - RemarshalException
+ - Remote
+ - RemoteCall
+ - RemoteException
+ - RemoteObject
+ - RemoteRef
+ - RemoteServer
+ - RemoteStub
+ - RenderContext
+ - RenderableImage
+ - RenderableImageOp
+ - RenderableImageProducer
+ - RenderedImage
+ - RenderedImageFactory
+ - Renderer
+ - RenderingHints
+ - RepaintManager
+ - ReplicateScaleFilter
+ - RepositoryIdHelper
+ - Request
+ - RequestInfo
+ - RequestInfoOperations
+ - RequestProcessingPolicy
+ - RequestProcessingPolicyOperations
+ - RequestProcessingPolicyValue
+ - RequestingUserName
+ - RescaleOp
+ - ResolutionSyntax
+ - ResolveResult
+ - Resolver
+ - ResourceBundle
+ - ResponseHandler
+ - Result
+ - Result
+ - ResultSet
+ - ResultSetMetaData
+ - ReverbType
+ - Robot
+ - RolloverButtonBorder
+ - RolloverButtonBorder
+ - RootPaneContainer
+ - RootPaneUI
+ - RoundRectangle2D
+ - RowMapper
+ - RowSet
+ - RowSetEvent
+ - RowSetInternal
+ - RowSetListener
+ - RowSetMetaData
+ - RowSetReader
+ - RowSetWriter
+ - RuleBasedCollator
+ - RunTime
+ - RunTimeOperations
+ - Runnable
+ - Runtime
+ - RuntimeException
+ - RuntimePermission
+ - SAXException
+ - SAXNotRecognizedException
+ - SAXNotSupportedException
+ - SAXParseException
+ - SAXParser
+ - SAXParserFactory
+ - SAXResult
+ - SAXSource
+ - SAXTransformerFactory
+ - SERVANT_RETENTION_POLICY_ID
+ - SERVICE_FORMATTED
+ - SQLData
+ - SQLException
+ - SQLInput
+ - SQLOutput
+ - SQLPermission
+ - SQLWarning
+ - SSLContext
+ - SSLContextSpi
+ - SSLException
+ - SSLHandshakeException
+ - SSLKeyException
+ - SSLPeerUnverifiedException
+ - SSLPermission
+ - SSLProtocolException
+ - SSLServerSocket
+ - SSLServerSocketFactory
+ - SSLSession
+ - SSLSessionBindingEvent
+ - SSLSessionBindingListener
+ - SSLSessionContext
+ - SSLSocket
+ - SSLSocketFactory
+ - STRING
+ - SUCCESSFUL
+ - SYNC_WITH_TRANSPORT
+ - SYSTEM_EXCEPTION
+ - SampleModel
+ - Savepoint
+ - ScatteringByteChannel
+ - SchemaViolationException
+ - ScrollBarUI
+ - ScrollPane
+ - ScrollPaneAdjustable
+ - ScrollPaneBorder
+ - ScrollPaneConstants
+ - ScrollPaneLayout
+ - ScrollPaneUI
+ - Scrollable
+ - Scrollbar
+ - SealedObject
+ - SearchControls
+ - SearchResult
+ - SecretKey
+ - SecretKeyFactory
+ - SecretKeyFactorySpi
+ - SecretKeySpec
+ - SecureClassLoader
+ - SecureRandom
+ - SecureRandomSpi
+ - Security
+ - SecurityException
+ - SecurityManager
+ - SecurityPermission
+ - Segment
+ - SelectableChannel
+ - SelectionKey
+ - Selector
+ - SelectorProvider
+ - Separator
+ - Separator
+ - SeparatorUI
+ - Sequence
+ - SequenceInputStream
+ - Sequencer
+ - Serializable
+ - SerializablePermission
+ - Servant
+ - ServantActivator
+ - ServantActivatorHelper
+ - ServantActivatorOperations
+ - ServantActivatorPOA
+ - ServantAlreadyActive
+ - ServantAlreadyActiveHelper
+ - ServantLocator
+ - ServantLocatorHelper
+ - ServantLocatorOperations
+ - ServantLocatorPOA
+ - ServantManager
+ - ServantManagerOperations
+ - ServantNotActive
+ - ServantNotActiveHelper
+ - ServantObject
+ - ServantRetentionPolicy
+ - ServantRetentionPolicyOperations
+ - ServantRetentionPolicyValue
+ - ServerCloneException
+ - ServerError
+ - ServerException
+ - ServerNotActiveException
+ - ServerRef
+ - ServerRequest
+ - ServerRequestInfo
+ - ServerRequestInfoOperations
+ - ServerRequestInterceptor
+ - ServerRequestInterceptorOperations
+ - ServerRuntimeException
+ - ServerSocket
+ - ServerSocketChannel
+ - ServerSocketFactory
+ - ServiceContext
+ - ServiceContextHelper
+ - ServiceContextHolder
+ - ServiceContextListHelper
+ - ServiceContextListHolder
+ - ServiceDetail
+ - ServiceDetailHelper
+ - ServiceIdHelper
+ - ServiceInformation
+ - ServiceInformationHelper
+ - ServiceInformationHolder
+ - ServicePermission
+ - ServiceRegistry
+ - ServiceUI
+ - ServiceUIFactory
+ - ServiceUnavailableException
+ - Set
+ - SetOfIntegerSyntax
+ - SetOverrideType
+ - SetOverrideTypeHelper
+ - Severity
+ - Shape
+ - ShapeGraphicAttribute
+ - SheetCollate
+ - Short
+ - ShortBuffer
+ - ShortBufferException
+ - ShortHolder
+ - ShortLookupTable
+ - ShortMessage
+ - ShortSeqHelper
+ - ShortSeqHolder
+ - Sides
+ - SidesType
+ - Signature
+ - SignatureException
+ - SignatureSpi
+ - SignedObject
+ - Signer
+ - SimpleAttributeSet
+ - SimpleBeanInfo
+ - SimpleDateFormat
+ - SimpleDoc
+ - SimpleFormatter
+ - SimpleTimeZone
+ - SinglePixelPackedSampleModel
+ - SingleSelectionModel
+ - SinkChannel
+ - Size2DSyntax
+ - SizeLimitExceededException
+ - SizeRequirements
+ - SizeSequence
+ - Skeleton
+ - SkeletonMismatchException
+ - SkeletonNotFoundException
+ - SliderUI
+ - Socket
+ - SocketAddress
+ - SocketChannel
+ - SocketException
+ - SocketFactory
+ - SocketHandler
+ - SocketImpl
+ - SocketImplFactory
+ - SocketOptions
+ - SocketPermission
+ - SocketSecurityException
+ - SocketTimeoutException
+ - SoftBevelBorder
+ - SoftReference
+ - SortedMap
+ - SortedSet
+ - SortingFocusTraversalPolicy
+ - Soundbank
+ - SoundbankReader
+ - SoundbankResource
+ - Source
+ - SourceChannel
+ - SourceDataLine
+ - SourceLocator
+ - SpinnerDateModel
+ - SpinnerListModel
+ - SpinnerModel
+ - SpinnerNumberModel
+ - SpinnerUI
+ - SplitPaneBorder
+ - SplitPaneUI
+ - Spring
+ - SpringLayout
+ - Stack
+ - StackOverflowError
+ - StackTraceElement
+ - StartTlsRequest
+ - StartTlsResponse
+ - State
+ - StateEdit
+ - StateEditable
+ - StateFactory
+ - Statement
+ - Statement
+ - StreamCorruptedException
+ - StreamHandler
+ - StreamPrintService
+ - StreamPrintServiceFactory
+ - StreamResult
+ - StreamSource
+ - StreamTokenizer
+ - Streamable
+ - StreamableValue
+ - StrictMath
+ - String
+ - StringBuffer
+ - StringBufferInputStream
+ - StringCharacterIterator
+ - StringContent
+ - StringHolder
+ - StringIndexOutOfBoundsException
+ - StringNameHelper
+ - StringReader
+ - StringRefAddr
+ - StringSelection
+ - StringSeqHelper
+ - StringSeqHolder
+ - StringTokenizer
+ - StringValueHelper
+ - StringWriter
+ - Stroke
+ - Struct
+ - StructMember
+ - StructMemberHelper
+ - Stub
+ - StubDelegate
+ - StubNotFoundException
+ - Style
+ - StyleConstants
+ - StyleContext
+ - StyleSheet
+ - StyledDocument
+ - StyledEditorKit
+ - StyledTextAction
+ - Subject
+ - SubjectDomainCombiner
+ - Subset
+ - SupportedValuesAttribute
+ - SwingConstants
+ - SwingPropertyChangeSupport
+ - SwingUtilities
+ - SyncFailedException
+ - SyncMode
+ - SyncScopeHelper
+ - Synthesizer
+ - SysexMessage
+ - System
+ - SystemColor
+ - SystemException
+ - SystemFlavorMap
+ - TAG_ALTERNATE_IIOP_ADDRESS
+ - TAG_CODE_SETS
+ - TAG_INTERNET_IOP
+ - TAG_JAVA_CODEBASE
+ - TAG_MULTIPLE_COMPONENTS
+ - TAG_ORB_TYPE
+ - TAG_POLICIES
+ - TCKind
+ - THREAD_POLICY_ID
+ - TRANSACTION_REQUIRED
+ - TRANSACTION_ROLLEDBACK
+ - TRANSIENT
+ - TRANSPORT_RETRY
+ - TabExpander
+ - TabSet
+ - TabStop
+ - TabableView
+ - TabbedPaneUI
+ - TableCellEditor
+ - TableCellRenderer
+ - TableColumn
+ - TableColumnModel
+ - TableColumnModelEvent
+ - TableColumnModelListener
+ - TableHeaderBorder
+ - TableHeaderUI
+ - TableModel
+ - TableModelEvent
+ - TableModelListener
+ - TableUI
+ - TableView
+ - Tag
+ - TagElement
+ - TaggedComponent
+ - TaggedComponentHelper
+ - TaggedComponentHolder
+ - TaggedProfile
+ - TaggedProfileHelper
+ - TaggedProfileHolder
+ - TargetDataLine
+ - Templates
+ - TemplatesHandler
+ - Text
+ - TextAction
+ - TextArea
+ - TextAttribute
+ - TextComponent
+ - TextEvent
+ - TextField
+ - TextFieldBorder
+ - TextHitInfo
+ - TextInputCallback
+ - TextLayout
+ - TextListener
+ - TextMeasurer
+ - TextOutputCallback
+ - TextSyntax
+ - TextUI
+ - TexturePaint
+ - Thread
+ - ThreadDeath
+ - ThreadGroup
+ - ThreadLocal
+ - ThreadPolicy
+ - ThreadPolicyOperations
+ - ThreadPolicyValue
+ - Throwable
+ - Tie
+ - TileObserver
+ - Time
+ - TimeLimitExceededException
+ - TimeZone
+ - Timer
+ - Timer
+ - TimerTask
+ - Timestamp
+ - TitledBorder
+ - TitledBorderUIResource
+ - ToggleButtonBorder
+ - ToggleButtonBorder
+ - ToggleButtonModel
+ - TooManyListenersException
+ - ToolBarBorder
+ - ToolBarUI
+ - ToolTipManager
+ - ToolTipUI
+ - Toolkit
+ - Track
+ - TransactionRequiredException
+ - TransactionRolledbackException
+ - TransactionService
+ - TransferHandler
+ - Transferable
+ - TransformAttribute
+ - Transformer
+ - TransformerConfigurationException
+ - TransformerException
+ - TransformerFactory
+ - TransformerFactoryConfigurationError
+ - TransformerHandler
+ - Transmitter
+ - Transparency
+ - TreeCellEditor
+ - TreeCellRenderer
+ - TreeControlIcon
+ - TreeExpansionEvent
+ - TreeExpansionListener
+ - TreeFolderIcon
+ - TreeLeafIcon
+ - TreeMap
+ - TreeModel
+ - TreeModelEvent
+ - TreeModelListener
+ - TreeNode
+ - TreePath
+ - TreeSelectionEvent
+ - TreeSelectionListener
+ - TreeSelectionModel
+ - TreeSet
+ - TreeUI
+ - TreeWillExpandListener
+ - TrustAnchor
+ - TrustManager
+ - TrustManagerFactory
+ - TrustManagerFactorySpi
+ - Type
+ - Type
+ - Type
+ - Type
+ - Type
+ - Type
+ - Type
+ - TypeCode
+ - TypeCodeHolder
+ - TypeMismatch
+ - TypeMismatch
+ - TypeMismatch
+ - TypeMismatchHelper
+ - TypeMismatchHelper
+ - Types
+ - UID
+ - UIDefaults
+ - UIManager
+ - UIResource
+ - UIResource
+ - UIResource
+ - UIResource
+ - UIResource
+ - UIResource
+ - UIResource
+ - ULongLongSeqHelper
+ - ULongLongSeqHolder
+ - ULongSeqHelper
+ - ULongSeqHolder
+ - UNKNOWN
+ - UNSUPPORTED_POLICY
+ - UNSUPPORTED_POLICY_VALUE
+ - URI
+ - URIException
+ - URIResolver
+ - URISyntax
+ - URISyntaxException
+ - URL
+ - URL
+ - URLClassLoader
+ - URLConnection
+ - URLDecoder
+ - URLEncoder
+ - URLStreamHandler
+ - URLStreamHandlerFactory
+ - URLStringHelper
+ - USER_EXCEPTION
+ - UShortSeqHelper
+ - UShortSeqHolder
+ - UTFDataFormatException
+ - UndeclaredThrowableException
+ - UnderlineAction
+ - UndoManager
+ - UndoableEdit
+ - UndoableEditEvent
+ - UndoableEditListener
+ - UndoableEditSupport
+ - UnexpectedException
+ - UnicastRemoteObject
+ - UnicodeBlock
+ - UnionMember
+ - UnionMemberHelper
+ - UnknownEncoding
+ - UnknownEncodingHelper
+ - UnknownError
+ - UnknownException
+ - UnknownGroupException
+ - UnknownHostException
+ - UnknownHostException
+ - UnknownObjectException
+ - UnknownServiceException
+ - UnknownTag
+ - UnknownUserException
+ - UnknownUserExceptionHelper
+ - UnknownUserExceptionHolder
+ - UnmappableCharacterException
+ - UnmarshalException
+ - UnmodifiableSetException
+ - UnrecoverableKeyException
+ - Unreferenced
+ - UnresolvedAddressException
+ - UnresolvedPermission
+ - UnsatisfiedLinkError
+ - UnsolicitedNotification
+ - UnsolicitedNotificationEvent
+ - UnsolicitedNotificationListener
+ - UnsupportedAddressTypeException
+ - UnsupportedAudioFileException
+ - UnsupportedCallbackException
+ - UnsupportedCharsetException
+ - UnsupportedClassVersionError
+ - UnsupportedEncodingException
+ - UnsupportedFlavorException
+ - UnsupportedLookAndFeelException
+ - UnsupportedOperationException
+ - UserException
+ - Util
+ - UtilDelegate
+ - Utilities
+ - VMID
+ - VM_ABSTRACT
+ - VM_CUSTOM
+ - VM_NONE
+ - VM_TRUNCATABLE
+ - ValueBase
+ - ValueBaseHelper
+ - ValueBaseHolder
+ - ValueFactory
+ - ValueHandler
+ - ValueMember
+ - ValueMemberHelper
+ - VariableHeightLayoutCache
+ - Vector
+ - VerifyError
+ - VersionSpecHelper
+ - VetoableChangeListener
+ - VetoableChangeListenerProxy
+ - VetoableChangeSupport
+ - View
+ - ViewFactory
+ - ViewportLayout
+ - ViewportUI
+ - VirtualMachineError
+ - Visibility
+ - VisibilityHelper
+ - VoiceStatus
+ - Void
+ - VolatileImage
+ - WCharSeqHelper
+ - WCharSeqHolder
+ - WStringSeqHelper
+ - WStringSeqHolder
+ - WStringValueHelper
+ - WeakHashMap
+ - WeakReference
+ - Window
+ - WindowAdapter
+ - WindowConstants
+ - WindowEvent
+ - WindowFocusListener
+ - WindowListener
+ - WindowStateListener
+ - WrappedPlainView
+ - WritableByteChannel
+ - WritableRaster
+ - WritableRenderedImage
+ - WriteAbortedException
+ - Writer
+ - WrongAdapter
+ - WrongAdapterHelper
+ - WrongPolicy
+ - WrongPolicyHelper
+ - WrongTransaction
+ - WrongTransactionHelper
+ - WrongTransactionHolder
+ - X500Principal
+ - X500PrivateCredential
+ - X509CRL
+ - X509CRLEntry
+ - X509CRLSelector
+ - X509CertSelector
+ - X509Certificate
+ - X509Certificate
+ - X509EncodedKeySpec
+ - X509Extension
+ - X509KeyManager
+ - X509TrustManager
+ - XAConnection
+ - XADataSource
+ - XAException
+ - XAResource
+ - XMLDecoder
+ - XMLEncoder
+ - XMLFilter
+ - XMLFilterImpl
+ - XMLFormatter
+ - XMLReader
+ - XMLReaderAdapter
+ - XMLReaderFactory
+ - Xid
+ - ZipEntry
+ - ZipException
+ - ZipFile
+ - ZipInputStream
+ - ZipOutputStream
+ - ZoneView
+ - _BindingIteratorImplBase
+ - _BindingIteratorStub
+ - _DynAnyFactoryStub
+ - _DynAnyStub
+ - _DynArrayStub
+ - _DynEnumStub
+ - _DynFixedStub
+ - _DynSequenceStub
+ - _DynStructStub
+ - _DynUnionStub
+ - _DynValueStub
+ - _IDLTypeStub
+ - _NamingContextExtStub
+ - _NamingContextImplBase
+ - _NamingContextStub
+ - _PolicyStub
+ - _Remote_Stub
+ - _ServantActivatorStub
+ - _ServantLocatorStub
- - abstract
- - assert
- - break
- - case
- - catch
- - class
- - continue
- - default
- - do
- - else
- - extends
- - false
- - finally
- - for
- - goto
- - if
- - implements
- - import
- - instanceof
- - interface
- - native
- - new
- - null
- - package
- - private
- - protected
- - public
- - return
- - super
- - strictfp
- - switch
- - synchronized
- - this
- - throws
- - throw
- - transient
- - true
- - try
- - volatile
- - while
+ - abstract
+ - assert
+ - break
+ - case
+ - catch
+ - class
+ - continue
+ - default
+ - do
+ - else
+ - extends
+ - false
+ - finally
+ - for
+ - goto
+ - if
+ - implements
+ - import
+ - instanceof
+ - interface
+ - native
+ - new
+ - null
+ - package
+ - private
+ - protected
+ - public
+ - return
+ - super
+ - strictfp
+ - switch
+ - synchronized
+ - this
+ - throws
+ - throw
+ - transient
+ - true
+ - try
+ - volatile
+ - while
- - boolean
- - byte
- - char
- - const
- - double
- - final
- - float
- - int
- - long
- - short
- - static
- - void
+ - boolean
+ - byte
+ - char
+ - const
+ - double
+ - final
+ - float
+ - int
+ - long
+ - short
+ - static
+ - void
- - and
- - eq
- - gt
- - true
- - instanceof
- - or
- - ne
- - le
- - false
- - empty
- - not
- - lt
- - ge
- - null
- - div
- - mod
+ - and
+ - eq
+ - gt
+ - true
+ - instanceof
+ - or
+ - ne
+ - le
+ - false
+ - empty
+ - not
+ - lt
+ - ge
+ - null
+ - div
+ - mod
@@ -2912,21 +2912,10 @@
-
-
-
+
-
-
-
-
-
-
-
-
-
-
+
@@ -2938,6 +2927,21 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -2945,21 +2949,10 @@
-
-
-
+
-
-
-
-
-
-
-
-
-
-
+
@@ -3036,7 +3029,6 @@
-
@@ -3045,7 +3037,6 @@
-
diff --git a/kate/data/julia.xml b/kate/data/julia.xml
index 9fe825fef..aa0b9804b 100644
--- a/kate/data/julia.xml
+++ b/kate/data/julia.xml
@@ -29,117 +29,117 @@
-
+
- - begin
- - do
- - for
- - function
- - if
- - let
- - quote
- - try
- - type
- - while
+ - begin
+ - do
+ - for
+ - function
+ - if
+ - let
+ - quote
+ - try
+ - type
+ - while
- - catch
- - else
- - elseif
+ - catch
+ - else
+ - elseif
- - end
+ - end
- - abstract
- - bitstype
- - break
- - ccall
- - const
- - continue
- - export
- - global
- - import
- - in
- - local
- - macro
- - module
- - return
- - typealias
+ - abstract
+ - bitstype
+ - break
+ - ccall
+ - const
+ - continue
+ - export
+ - global
+ - import
+ - in
+ - local
+ - macro
+ - module
+ - return
+ - typealias
- - AbstractArray
- - AbstractMatrix
- - AbstractVector
- - Any
- - Array
- - ASCIIString
- - Associative
- - Bool
- - ByteString
- - Char
- - Complex
- - Complex64
- - Complex128
- - ComplexPair
- - DArray
- - Dict
- - Exception
- - Expr
- - Float
- - Float32
- - Float64
- - Function
- - ObjectIdDict
- - Int
- - Int8
- - Int16
- - Int32
- - Int64
- - Integer
- - IntSet
- - IO
- - IOStream
- - Matrix
- - Nothing
- - None
- - NTuple
- - Number
- - Ptr
- - Range
- - Range1
- - Ranges
- - Rational
- - Real
- - Regex
- - RegexMatch
- - Set
- - Signed
- - StridedArray
- - StridedMatrix
- - StridedVecOrMat
- - StridedVector
- - String
- - SubArray
- - SubString
- - Symbol
- - Task
- - Tuple
- - Type
- - Uint
- - Uint8
- - Uint16
- - Uint32
- - Uint64
- - Union
- - Unsigned
- - UTF8String
- - VecOrMat
- - Vector
- - Void
- - WeakRef
+ - AbstractArray
+ - AbstractMatrix
+ - AbstractVector
+ - Any
+ - Array
+ - ASCIIString
+ - Associative
+ - Bool
+ - ByteString
+ - Char
+ - Complex
+ - Complex64
+ - Complex128
+ - ComplexPair
+ - DArray
+ - Dict
+ - Exception
+ - Expr
+ - Float
+ - Float32
+ - Float64
+ - Function
+ - ObjectIdDict
+ - Int
+ - Int8
+ - Int16
+ - Int32
+ - Int64
+ - Integer
+ - IntSet
+ - IO
+ - IOStream
+ - Matrix
+ - Nothing
+ - None
+ - NTuple
+ - Number
+ - Ptr
+ - Range
+ - Range1
+ - Ranges
+ - Rational
+ - Real
+ - Regex
+ - RegexMatch
+ - Set
+ - Signed
+ - StridedArray
+ - StridedMatrix
+ - StridedVecOrMat
+ - StridedVector
+ - String
+ - SubArray
+ - SubString
+ - Symbol
+ - Task
+ - Tuple
+ - Type
+ - Uint
+ - Uint8
+ - Uint16
+ - Uint32
+ - Uint64
+ - Union
+ - Unsigned
+ - UTF8String
+ - VecOrMat
+ - Vector
+ - Void
+ - WeakRef
@@ -225,13 +225,13 @@ endRegion="block" />
-
+
-
+
-
+
diff --git a/kate/data/kbasic.xml b/kate/data/kbasic.xml
index c3ca28178..41fc705ba 100644
--- a/kate/data/kbasic.xml
+++ b/kate/data/kbasic.xml
@@ -1,68 +1,68 @@
-
+
- - For
- - Next
- - Do
- - Loop
- - While
- - Wend
- - Until
- - If
- - Else
- - End
- - Function
- - Goto
- - Sub
- - Implements
- - In
- - Sub
- - Private
- - Public
- - Global
- - As
- - Dim
- - Set
- - Let
- - Get
- - To
- - Property
- - True
- - False
- - Or
- - Not
- - Xor
- - And
- - Then
- - Exit
- - Put
- - Open
- - Close
- - Seek
- - Print
- - Input
- - Output
- - Repeat
- - Load
- - Unload
- - Declare
- - Option
- - Explicit
+ - For
+ - Next
+ - Do
+ - Loop
+ - While
+ - Wend
+ - Until
+ - If
+ - Else
+ - End
+ - Function
+ - Goto
+ - Sub
+ - Implements
+ - In
+ - Sub
+ - Private
+ - Public
+ - Global
+ - As
+ - Dim
+ - Set
+ - Let
+ - Get
+ - To
+ - Property
+ - True
+ - False
+ - Or
+ - Not
+ - Xor
+ - And
+ - Then
+ - Exit
+ - Put
+ - Open
+ - Close
+ - Seek
+ - Print
+ - Input
+ - Output
+ - Repeat
+ - Load
+ - Unload
+ - Declare
+ - Option
+ - Explicit
- - Integer
- - Long
- - Byte
- - Boolean
- - Variant
- - Single
- - Double
- - Currency
- - String
- - Object
- - Control
+ - Integer
+ - Long
+ - Byte
+ - Boolean
+ - Variant
+ - Single
+ - Double
+ - Currency
+ - String
+ - Object
+ - Control
@@ -70,7 +70,7 @@
-
+
diff --git a/kate/data/ld.xml b/kate/data/ld.xml
index 29501d678..0f7a8aff5 100644
--- a/kate/data/ld.xml
+++ b/kate/data/ld.xml
@@ -1,38 +1,38 @@
-
+
- - AT
- - ENTRY
- - INPUT
- - GROUP
- - OUTPUT
- - OUTPUT_ARCH
- - OUTPUT_FORMAT
- - SEARCH_DIR
- - STARTUP
- - TARGET
+ - AT
+ - ENTRY
+ - INPUT
+ - GROUP
+ - OUTPUT
+ - OUTPUT_ARCH
+ - OUTPUT_FORMAT
+ - SEARCH_DIR
+ - STARTUP
+ - TARGET
- - ABSOLUTE
- - ADDR
- - ALIGN
- - DATA_SEGMENT_ALIGN
- - DATA_SEGMENT_END
- - DATA_SEGMENT_RELRO_END
- - DEFINED
- - LOADADDR
- - MAX
- - MIN
- - NEXT
- - SIZEOF
- - SIZEOF_HEADERS
+ - ABSOLUTE
+ - ADDR
+ - ALIGN
+ - DATA_SEGMENT_ALIGN
+ - DATA_SEGMENT_END
+ - DATA_SEGMENT_RELRO_END
+ - DEFINED
+ - LOADADDR
+ - MAX
+ - MIN
+ - NEXT
+ - SIZEOF
+ - SIZEOF_HEADERS
- - SECTIONS
- - MEMORY
+ - SECTIONS
+ - MEMORY
@@ -42,11 +42,7 @@
-
-
-
-
-
+
@@ -55,6 +51,11 @@
+
+
+
+
+
diff --git a/kate/data/ldif.xml b/kate/data/ldif.xml
index fde17f059..c49dbf75f 100644
--- a/kate/data/ldif.xml
+++ b/kate/data/ldif.xml
@@ -1,6 +1,6 @@
-
+
- IPPhone
@@ -290,7 +290,6 @@
-
diff --git a/kate/data/logtalk.xml b/kate/data/logtalk.xml
index d2de708dc..43d063c9e 100644
--- a/kate/data/logtalk.xml
+++ b/kate/data/logtalk.xml
@@ -1,7 +1,7 @@
-
+
@@ -12,51 +12,61 @@
+
+
-
+
-
+
-
-
-
-
+
+
+
+
-
+
-
+
+
-
+
+
+
+
+
+
-
+
-
+
-
+
-
+
-
+
+
+
-
+
-
+
@@ -69,11 +79,13 @@
-
+
-
+
+
+
@@ -83,6 +95,7 @@
+
@@ -95,7 +108,7 @@
-
+
@@ -103,9 +116,11 @@
-
+
+
+
-
+
@@ -127,7 +142,7 @@
-
+
@@ -139,30 +154,57 @@
+
+
+
+
+
+
+
+
+
-
+
-
-
-
-
+
+
+
+
-
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -185,7 +227,7 @@
-
+
diff --git a/kate/data/lpc.xml b/kate/data/lpc.xml
index a30d220d7..bf1dac5e2 100644
--- a/kate/data/lpc.xml
+++ b/kate/data/lpc.xml
@@ -16,61 +16,61 @@ License: Artistic
-->
-
+
- - private
- - protected
- - static
- - public
- - nomask
- - varargs
- - nosave
- - virtual
+ - private
+ - protected
+ - static
+ - public
+ - nomask
+ - varargs
+ - nosave
+ - virtual
- - void
- - int
- - status
- - string
- - object
- - array
- - mapping
- - closure
- - symbol
- - float
- - mixed
+ - void
+ - int
+ - status
+ - string
+ - object
+ - array
+ - mapping
+ - closure
+ - symbol
+ - float
+ - mixed
- - break
- - continue
- - return
- - if
- - else
- - for
- - foreach
- - do
- - while
- - switch
- - case
- - inherit
- - default
- - variables
- - functions
- - publish
- - nolog
+ - break
+ - continue
+ - return
+ - if
+ - else
+ - for
+ - foreach
+ - do
+ - while
+ - switch
+ - case
+ - inherit
+ - default
+ - variables
+ - functions
+ - publish
+ - nolog
- - FIXME
- - HACK
- - NOTE
- - NOTICE
- - TODO
- - WARNING
- - ###
+ - FIXME
+ - HACK
+ - NOTE
+ - NOTICE
+ - TODO
+ - WARNING
+ - ###
@@ -84,9 +84,7 @@ License: Artistic
-
-
-
+
@@ -97,6 +95,9 @@ License: Artistic
+
+
+
diff --git a/kate/data/lsl.xml b/kate/data/lsl.xml
index cee70eaab..2d0fc5118 100644
--- a/kate/data/lsl.xml
+++ b/kate/data/lsl.xml
@@ -11,973 +11,973 @@
]>
-
+
- - integer
- - float
- - string
- - key
- - vector
- - quaternion
- - rotation
- - list
+ - integer
+ - float
+ - string
+ - key
+ - vector
+ - quaternion
+ - rotation
+ - list
- - default
- - state
- - event
- - jump
- - return
- - if
- - else
- - for
- - do
- - while
+ - default
+ - state
+ - event
+ - jump
+ - return
+ - if
+ - else
+ - for
+ - do
+ - while
- - state_entry
- - state_exit
- - touch_start
- - touch
- - touch_end
- - collision_start
- - collision
- - collision_end
- - land_collision_start
- - land_collision
- - land_collision_end
- - timer
- - listen
- - sensor
- - no_sensor
- - control
- - print
- - at_target
- - not_at_target
- - at_rot_target
- - not_at_rot_target
- - money
- - email
- - run_time_permissions
- - changed
- - attach
- - dataserver
- - moving_start
- - moving_end
- - link_message
- - on_rez
- - object_rez
- - remote_data
- - http_response
+ - state_entry
+ - state_exit
+ - touch_start
+ - touch
+ - touch_end
+ - collision_start
+ - collision
+ - collision_end
+ - land_collision_start
+ - land_collision
+ - land_collision_end
+ - timer
+ - listen
+ - sensor
+ - no_sensor
+ - control
+ - print
+ - at_target
+ - not_at_target
+ - at_rot_target
+ - not_at_rot_target
+ - money
+ - email
+ - run_time_permissions
+ - changed
+ - attach
+ - dataserver
+ - moving_start
+ - moving_end
+ - link_message
+ - on_rez
+ - object_rez
+ - remote_data
+ - http_response
- - TRUE
- - FALSE
- - STATUS_PHYSICS
- - STATUS_ROTATE_X
- - STATUS_ROTATE_Y
- - STATUS_ROTATE_Z
- - STATUS_PHANTOM
- - STATUS_SANDBOX
- - STATUS_BLOCK_GRAB
- - STATUS_DIE_AT_EDGE
- - STATUS_RETURN_AT_EDGE
- - STATUS_CAST_SHADOWS
-
- - AGENT_FLYING
- - AGENT_ATTACHMENTS
- - AGENT_SCRIPTED
- - AGENT_MOUSELOOK
- - AGENT_SITTING
- - AGENT_ON_OBJECT
- - AGENT_AWAY
- - AGENT_WALKING
- - AGENT_IN_AIR
- - AGENT_TYPING
- - AGENT_CROUCHING
- - AGENT_BUSY
- - AGENT_ALWAYS_RUN
-
- - CAMERA_PITCH
- - CAMERA_FOCUS_OFFSET
- - CAMERA_POSITION_LAG
- - CAMERA_FOCUS_LAG
- - CAMERA_DISTANCE
- - CAMERA_BEHINDNESS_ANGLE
- - CAMERA_BEHINDNESS_LAG
- - CAMERA_POSITION_THRESHOLD
- - CAMERA_FOCUS_THRESHOLD
- - CAMERA_ACTIVE
- - CAMERA_POSITION
- - CAMERA_FOCUS
- - CAMERA_POSITION_LOCKED
- - CAMERA_FOCUS_LOCKED
-
- - ANIM_ON
- - LOOP
- - REVERSE
- - PING_PONG
- - SMOOTH
- - ROTATE
- - SCALE
-
- - ALL_SIDES
- - LINK_ROOT
- - LINK_SET
- - LINK_ALL_OTHERS
- - LINK_ALL_CHILDREN
- - LINK_THIS
-
- - AGENT
- - ACTIVE
- - PASSIVE
- - SCRIPTED
-
- - CONTROL_FWD
- - CONTROL_BACK
- - CONTROL_LEFT
- - CONTROL_RIGHT
- - CONTROL_ROT_LEFT
- - CONTROL_ROT_RIGHT
- - CONTROL_UP
- - CONTROL_DOWN
- - CONTROL_LBUTTON
- - CONTROL_ML_LBUTTON
-
- - PERMISSION_DEBIT
- - PERMISSION_TAKE_CONTROLS
- - PERMISSION_REMAP_CONTROLS
- - PERMISSION_TRIGGER_ANIMATION
- - PERMISSION_ATTACH
- - PERMISSION_RELEASE_OWNERSHIP
- - PERMISSION_CHANGE_LINKS
- - PERMISSION_CHANGE_JOINTS
- - PERMISSION_CHANGE_PERMISSIONS
- - PERMISSION_TRACK_CAMERA
- - PERMISSION_CONTROL_CAMERA
-
- - INVENTORY_TEXTURE
- - INVENTORY_SOUND
- - INVENTORY_OBJECT
- - INVENTORY_SCRIPT
- - INVENTORY_LANDMARK
- - INVENTORY_CLOTHING
- - INVENTORY_NOTECARD
- - INVENTORY_BODYPART
- - INVENTORY_ANIMATION
- - INVENTORY_GESTURE
- - INVENTORY_ALL
- - INVENTORY_NONE
-
- - CHANGED_INVENTORY
- - CHANGED_COLOR
- - CHANGED_SHAPE
- - CHANGED_SCALE
- - CHANGED_TEXTURE
- - CHANGED_LINK
- - CHANGED_ALLOWED_DROP
- - CHANGED_OWNER
- - CHANGED_REGION
- - CHANGED_TELEPORT
-
- - OBJECT_UNKNOWN_DETAIL
- - OBJECT_NAME
- - OBJECT_DESC
- - OBJECT_POS
- - OBJECT_ROT
- - OBJECT_VELOCITY
- - OBJECT_OWNER
- - OBJECT_GROUP
- - OBJECT_CREATOR
-
- - TYPE_INTEGER
- - TYPE_FLOAT
- - TYPE_STRING
- - TYPE_KEY
- - TYPE_VECTOR
- - TYPE_ROTATION
- - TYPE_INVALID
-
- - NULL_KEY
- - EOF
-
- - PI
- - TWO_PI
- - PI_BY_TWO
- - DEG_TO_RAD
- - RAD_TO_DEG
- - SQRT2
-
- - DEBUG_CHANNEL
- - PUBLIC_CHANNEL
-
- - ZERO_VECTOR
- - ZERO_ROTATION
-
- - ATTACH_CHEST
- - ATTACH_HEAD
- - ATTACH_LSHOULDER
- - ATTACH_RSHOULDER
- - ATTACH_LHAND
- - ATTACH_RHAND
- - ATTACH_LFOOT
- - ATTACH_RFOOT
- - ATTACH_BACK
- - ATTACH_PELVIS
- - ATTACH_MOUTH
- - ATTACH_CHIN
- - ATTACH_LEAR
- - ATTACH_REAR
- - ATTACH_LEYE
- - ATTACH_REYE
- - ATTACH_NOSE
- - ATTACH_RUARM
- - ATTACH_RLARM
- - ATTACH_LUARM
- - ATTACH_LLARM
- - ATTACH_RHIP
- - ATTACH_RULEG
- - ATTACH_RLLEG
- - ATTACH_LHIP
- - ATTACH_LULEG
- - ATTACH_LLLEG
- - ATTACH_BELLY
- - ATTACH_LPEC
- - ATTACH_RPEC
- - ATTACH_HUD_CENTER_2
- - ATTACH_HUD_TOP_RIGHT
- - ATTACH_HUD_TOP_CENTER
- - ATTACH_HUD_TOP_LEFT
- - ATTACH_HUD_CENTER_1
- - ATTACH_HUD_BOTTOM_LEFT
- - ATTACH_HUD_BOTTOM
- - ATTACH_HUD_BOTTOM_RIGHT
-
- - LAND_LEVEL
- - LAND_RAISE
- - LAND_LOWER
- - LAND_SMOOTH
- - LAND_NOISE
- - LAND_REVERT
-
- - LAND_SMALL_BRUSH
- - LAND_MEDIUM_BRUSH
- - LAND_LARGE_BRUSH
-
- - DATA_ONLINE
- - DATA_NAME
- - DATA_BORN
- - DATA_RATING
- - DATA_SIM_POS
- - DATA_SIM_STATUS
- - DATA_SIM_RATING
- - DATA_PAYINFO
-
- - PAYMENT_INFO_ON_FILE
- - PAYMENT_INFO_USED
-
- - REMOTE_DATA_CHANNEL
- - REMOTE_DATA_REQUEST
- - REMOTE_DATA_REPLY
-
-
- - PSYS_PART_FLAGS
- - PSYS_PART_START_COLOR
- - PSYS_PART_START_ALPHA
- - PSYS_PART_START_SCALE
- - PSYS_PART_END_COLOR
- - PSYS_PART_END_ALPHA
- - PSYS_PART_END_SCALE
- - PSYS_PART_MAX_AGE
-
-
- - PSYS_PART_WIND_MASK
- - PSYS_PART_INTERP_COLOR_MASK
- - PSYS_PART_INTERP_SCALE_MASK
- - PSYS_PART_BOUNCE_MASK
- - PSYS_PART_FOLLOW_SRC_MASK
- - PSYS_PART_FOLLOW_VELOCITY_MASK
- - PSYS_PART_TARGET_POS_MASK
- - PSYS_PART_EMISSIVE_MASK
- - PSYS_PART_TARGET_LINEAR_MASK
-
-
- - PSYS_SRC_MAX_AGE
- - PSYS_SRC_PATTERN
- - PSYS_SRC_INNERANGLE
- - PSYS_SRC_OUTERANGLE
- - PSYS_SRC_ANGLE_BEGIN
- - PSYS_SRC_ANGLE_END
- - PSYS_SRC_BURST_RATE
- - PSYS_SRC_BURST_PART_COUNT
- - PSYS_SRC_BURST_RADIUS
- - PSYS_SRC_BURST_SPEED_MIN
- - PSYS_SRC_BURST_SPEED_MAX
- - PSYS_SRC_ACCEL
- - PSYS_SRC_TEXTURE
- - PSYS_SRC_TARGET_KEY
- - PSYS_SRC_OMEGA
-
- - PSYS_SRC_OBJ_REL_MASK
-
- - PSYS_SRC_PATTERN_DROP
- - PSYS_SRC_PATTERN_EXPLODE
- - PSYS_SRC_PATTERN_ANGLE
- - PSYS_SRC_PATTERN_ANGLE_CONE
- - PSYS_SRC_PATTERN_ANGLE_CONE_EMPTY
-
-
- - VEHICLE_TYPE_NONE
- - VEHICLE_TYPE_SLED
- - VEHICLE_TYPE_CAR
- - VEHICLE_TYPE_BOAT
- - VEHICLE_TYPE_AIRPLANE
- - VEHICLE_TYPE_BALLOON
-
- - VEHICLE_REFERENCE_FRAME
- - VEHICLE_LINEAR_FRICTION_TIMESCALE
- - VEHICLE_ANGULAR_FRICTION_TIMESCALE
- - VEHICLE_LINEAR_MOTOR_DIRECTION
- - VEHICLE_ANGULAR_MOTOR_DIRECTION
- - VEHICLE_LINEAR_MOTOR_OFFSET
-
-
-
- - VEHICLE_HOVER_HEIGHT
- - VEHICLE_HOVER_EFFICIENCY
- - VEHICLE_HOVER_TIMESCALE
- - VEHICLE_BUOYANCY
-
- - VEHICLE_LINEAR_DEFLECTION_EFFICIENCY
- - VEHICLE_LINEAR_DEFLECTION_TIMESCALE
- - VEHICLE_LINEAR_MOTOR_TIMESCALE
- - VEHICLE_LINEAR_MOTOR_DECAY_TIMESCALE
-
- - VEHICLE_ANGULAR_DEFLECTION_EFFICIENCY
- - VEHICLE_ANGULAR_DEFLECTION_TIMESCALE
- - VEHICLE_ANGULAR_MOTOR_TIMESCALE
- - VEHICLE_ANGULAR_MOTOR_DECAY_TIMESCALE
-
- - VEHICLE_VERTICAL_ATTRACTION_EFFICIENCY
- - VEHICLE_VERTICAL_ATTRACTION_TIMESCALE
-
- - VEHICLE_BANKING_EFFICIENCY
- - VEHICLE_BANKING_MIX
- - VEHICLE_BANKING_TIMESCALE
-
- - VEHICLE_FLAG_NO_FLY_UP
- - VEHICLE_FLAG_NO_DEFLECTION_UP
- - VEHICLE_FLAG_LIMIT_ROLL_ONLY
- - VEHICLE_FLAG_HOVER_WATER_ONLY
- - VEHICLE_FLAG_HOVER_TERRAIN_ONLY
- - VEHICLE_FLAG_HOVER_GLOBAL_HEIGHT
- - VEHICLE_FLAG_HOVER_UP_ONLY
- - VEHICLE_FLAG_LIMIT_MOTOR_UP
- - VEHICLE_FLAG_MOUSELOOK_STEER
- - VEHICLE_FLAG_MOUSELOOK_BANK
- - VEHICLE_FLAG_CAMERA_DECOUPLED
-
-
-
- - PRIM_TYPE
- - PRIM_MATERIAL
- - PRIM_PHYSICS
- - PRIM_FLEXIBLE
- - PRIM_POINT_LIGHT
- - PRIM_TEMP_ON_REZ
- - PRIM_PHANTOM
- - PRIM_CAST_SHADOWS
- - PRIM_POSITION
- - PRIM_SIZE
- - PRIM_ROTATION
- - PRIM_TEXTURE
- - PRIM_COLOR
- - PRIM_BUMP_SHINY
- - PRIM_FULLBRIGHT
- - PRIM_TEXGEN
- - PRIM_GLOW
-
- - PRIM_TYPE_BOX
- - PRIM_TYPE_CYLINDER
- - PRIM_TYPE_PRISM
- - PRIM_TYPE_SPHERE
- - PRIM_TYPE_TORUS
- - PRIM_TYPE_TUBE
- - PRIM_TYPE_RING
- - PRIM_TYPE_SCULPT
-
- - PRIM_HOLE_DEFAULT
- - PRIM_HOLE_CIRCLE
- - PRIM_HOLE_SQUARE
- - PRIM_HOLE_TRIANGLE
-
- - PRIM_MATERIAL_STONE
- - PRIM_MATERIAL_METAL
- - PRIM_MATERIAL_GLASS
- - PRIM_MATERIAL_WOOD
- - PRIM_MATERIAL_FLESH
- - PRIM_MATERIAL_PLASTIC
- - PRIM_MATERIAL_RUBBER
- - PRIM_MATERIAL_LIGHT
-
- - PRIM_SHINY_NONE
- - PRIM_SHINY_LOW
- - PRIM_SHINY_MEDIUM
- - PRIM_SHINY_HIGH
-
- - PRIM_BUMP_NONE
- - PRIM_BUMP_BRIGHT
- - PRIM_BUMP_DARK
- - PRIM_BUMP_WOOD
- - PRIM_BUMP_BARK
- - PRIM_BUMP_BRICKS
- - PRIM_BUMP_CHECKER
- - PRIM_BUMP_CONCRETE
- - PRIM_BUMP_TILE
- - PRIM_BUMP_STONE
- - PRIM_BUMP_DISKS
- - PRIM_BUMP_GRAVEL
- - PRIM_BUMP_BLOBS
- - PRIM_BUMP_SIDING
- - PRIM_BUMP_LARGETILE
- - PRIM_BUMP_STUCCO
- - PRIM_BUMP_SUCTION
- - PRIM_BUMP_WEAVE
-
- - PRIM_TEXGEN_DEFAULT
- - PRIM_TEXGEN_PLANAR
-
- - PRIM_SCULPT_TYPE_SPHERE
- - PRIM_SCULPT_TYPE_TORUS
- - PRIM_SCULPT_TYPE_PLANE
- - PRIM_SCULPT_TYPE_CYLINDER
- - PRIM_SCULPT_TYPE_MASK
- - PRIM_SCULPT_FLAG_MIRROR
- - PRIM_SCULPT_FLAG_INVERT
-
- - MASK_BASE
- - MASK_OWNER
- - MASK_GROUP
- - MASK_EVERYONE
- - MASK_NEXT
-
- - PERM_TRANSFER
- - PERM_MODIFY
- - PERM_COPY
- - PERM_MOVE
- - PERM_ALL
-
- - PARCEL_MEDIA_COMMAND_STOP
- - PARCEL_MEDIA_COMMAND_PAUSE
- - PARCEL_MEDIA_COMMAND_PLAY
- - PARCEL_MEDIA_COMMAND_LOOP
- - PARCEL_MEDIA_COMMAND_TEXTURE
- - PARCEL_MEDIA_COMMAND_URL
- - PARCEL_MEDIA_COMMAND_TIME
- - PARCEL_MEDIA_COMMAND_AGENT
- - PARCEL_MEDIA_COMMAND_UNLOAD
- - PARCEL_MEDIA_COMMAND_AUTO_ALIGN
- - PARCEL_MEDIA_COMMAND_TYPE
- - PARCEL_MEDIA_COMMAND_SIZE
- - PARCEL_MEDIA_COMMAND_DESC
- - PARCEL_MEDIA_COMMAND_LOOP_SET
-
- - LIST_STAT_MAX
- - LIST_STAT_MIN
- - LIST_STAT_MEAN
- - LIST_STAT_MEDIAN
- - LIST_STAT_STD_DEV
- - LIST_STAT_SUM
- - LIST_STAT_SUM_SQUARES
- - LIST_STAT_NUM_COUNT
- - LIST_STAT_GEOMETRIC_MEAN
- - LIST_STAT_RANGE
-
- - PAY_HIDE
- - PAY_DEFAULT
-
- - PARCEL_FLAG_ALLOW_FLY
- - PARCEL_FLAG_ALLOW_GROUP_SCRIPTS
- - PARCEL_FLAG_ALLOW_SCRIPTS
- - PARCEL_FLAG_ALLOW_LANDMARK
- - PARCEL_FLAG_ALLOW_TERRAFORM
- - PARCEL_FLAG_ALLOW_DAMAGE
- - PARCEL_FLAG_ALLOW_CREATE_OBJECTS
- - PARCEL_FLAG_ALLOW_CREATE_GROUP_OBJECTS
- - PARCEL_FLAG_USE_ACCESS_GROUP
- - PARCEL_FLAG_USE_ACCESS_LIST
- - PARCEL_FLAG_USE_BAN_LIST
- - PARCEL_FLAG_USE_LAND_PASS_LIST
- - PARCEL_FLAG_LOCAL_SOUND_ONLY
- - PARCEL_FLAG_RESTRICT_PUSHOBJECT
- - PARCEL_FLAG_ALLOW_GROUP_OBJECT_ENTRY
- - PARCEL_FLAG_ALLOW_ALL_OBJECT_ENTRY
-
- - REGION_FLAG_ALLOW_DAMAGE
- - REGION_FLAG_FIXED_SUN
- - REGION_FLAG_BLOCK_TERRAFORM
- - REGION_FLAG_SANDBOX
- - REGION_FLAG_DISABLE_COLLISIONS
- - REGION_FLAG_DISABLE_PHYSICS
- - REGION_FLAG_BLOCK_FLY
- - REGION_FLAG_ALLOW_DIRECT_TELEPORT
- - REGION_FLAG_RESTRICT_PUSHOBJECT
-
- - HTTP_METHOD
- - HTTP_MIMETYPE
- - HTTP_BODY_MAXLENGTH
- - HTTP_BODY_TRUNCATED
- - HTTP_VERIFY_CERT
-
- - PARCEL_COUNT_TOTAL
- - PARCEL_COUNT_OWNER
- - PARCEL_COUNT_GROUP
- - PARCEL_COUNT_OTHER
- - PARCEL_COUNT_SELECTED
- - PARCEL_COUNT_TEMP
-
- - PARCEL_DETAILS_NAME
- - PARCEL_DETAILS_DESC
- - PARCEL_DETAILS_OWNER
- - PARCEL_DETAILS_GROUP
- - PARCEL_DETAILS_AREA
-
- - STRING_TRIM_HEAD
- - STRING_TRIM_TAIL
- - STRING_TRIM
-
- - CLICK_ACTION_NONE
- - CLICK_ACTION_TOUCH
- - CLICK_ACTION_SIT
- - CLICK_ACTION_BUY
- - CLICK_ACTION_PAY
- - CLICK_ACTION_OPEN
- - CLICK_ACTION_PLAY
- - CLICK_ACTION_OPEN_MEDIA
-
- - TEXTURE_BLANK
- - TEXTURE_DEFAULT
- - TEXTURE_MEDIA
- - TEXTURE_PLYWOOD
- - TEXTURE_TRANSPARENT
-
- - TOUCH_INVALID_FACE
- - TOUCH_INVALID_VECTOR
- - TOUCH_INVALID_TEXCOORD
+ - TRUE
+ - FALSE
+ - STATUS_PHYSICS
+ - STATUS_ROTATE_X
+ - STATUS_ROTATE_Y
+ - STATUS_ROTATE_Z
+ - STATUS_PHANTOM
+ - STATUS_SANDBOX
+ - STATUS_BLOCK_GRAB
+ - STATUS_DIE_AT_EDGE
+ - STATUS_RETURN_AT_EDGE
+ - STATUS_CAST_SHADOWS
+
+ - AGENT_FLYING
+ - AGENT_ATTACHMENTS
+ - AGENT_SCRIPTED
+ - AGENT_MOUSELOOK
+ - AGENT_SITTING
+ - AGENT_ON_OBJECT
+ - AGENT_AWAY
+ - AGENT_WALKING
+ - AGENT_IN_AIR
+ - AGENT_TYPING
+ - AGENT_CROUCHING
+ - AGENT_BUSY
+ - AGENT_ALWAYS_RUN
+
+ - CAMERA_PITCH
+ - CAMERA_FOCUS_OFFSET
+ - CAMERA_POSITION_LAG
+ - CAMERA_FOCUS_LAG
+ - CAMERA_DISTANCE
+ - CAMERA_BEHINDNESS_ANGLE
+ - CAMERA_BEHINDNESS_LAG
+ - CAMERA_POSITION_THRESHOLD
+ - CAMERA_FOCUS_THRESHOLD
+ - CAMERA_ACTIVE
+ - CAMERA_POSITION
+ - CAMERA_FOCUS
+ - CAMERA_POSITION_LOCKED
+ - CAMERA_FOCUS_LOCKED
+
+ - ANIM_ON
+ - LOOP
+ - REVERSE
+ - PING_PONG
+ - SMOOTH
+ - ROTATE
+ - SCALE
+
+ - ALL_SIDES
+ - LINK_ROOT
+ - LINK_SET
+ - LINK_ALL_OTHERS
+ - LINK_ALL_CHILDREN
+ - LINK_THIS
+
+ - AGENT
+ - ACTIVE
+ - PASSIVE
+ - SCRIPTED
+
+ - CONTROL_FWD
+ - CONTROL_BACK
+ - CONTROL_LEFT
+ - CONTROL_RIGHT
+ - CONTROL_ROT_LEFT
+ - CONTROL_ROT_RIGHT
+ - CONTROL_UP
+ - CONTROL_DOWN
+ - CONTROL_LBUTTON
+ - CONTROL_ML_LBUTTON
+
+ - PERMISSION_DEBIT
+ - PERMISSION_TAKE_CONTROLS
+ - PERMISSION_REMAP_CONTROLS
+ - PERMISSION_TRIGGER_ANIMATION
+ - PERMISSION_ATTACH
+ - PERMISSION_RELEASE_OWNERSHIP
+ - PERMISSION_CHANGE_LINKS
+ - PERMISSION_CHANGE_JOINTS
+ - PERMISSION_CHANGE_PERMISSIONS
+ - PERMISSION_TRACK_CAMERA
+ - PERMISSION_CONTROL_CAMERA
+
+ - INVENTORY_TEXTURE
+ - INVENTORY_SOUND
+ - INVENTORY_OBJECT
+ - INVENTORY_SCRIPT
+ - INVENTORY_LANDMARK
+ - INVENTORY_CLOTHING
+ - INVENTORY_NOTECARD
+ - INVENTORY_BODYPART
+ - INVENTORY_ANIMATION
+ - INVENTORY_GESTURE
+ - INVENTORY_ALL
+ - INVENTORY_NONE
+
+ - CHANGED_INVENTORY
+ - CHANGED_COLOR
+ - CHANGED_SHAPE
+ - CHANGED_SCALE
+ - CHANGED_TEXTURE
+ - CHANGED_LINK
+ - CHANGED_ALLOWED_DROP
+ - CHANGED_OWNER
+ - CHANGED_REGION
+ - CHANGED_TELEPORT
+
+ - OBJECT_UNKNOWN_DETAIL
+ - OBJECT_NAME
+ - OBJECT_DESC
+ - OBJECT_POS
+ - OBJECT_ROT
+ - OBJECT_VELOCITY
+ - OBJECT_OWNER
+ - OBJECT_GROUP
+ - OBJECT_CREATOR
+
+ - TYPE_INTEGER
+ - TYPE_FLOAT
+ - TYPE_STRING
+ - TYPE_KEY
+ - TYPE_VECTOR
+ - TYPE_ROTATION
+ - TYPE_INVALID
+
+ - NULL_KEY
+ - EOF
+
+ - PI
+ - TWO_PI
+ - PI_BY_TWO
+ - DEG_TO_RAD
+ - RAD_TO_DEG
+ - SQRT2
+
+ - DEBUG_CHANNEL
+ - PUBLIC_CHANNEL
+
+ - ZERO_VECTOR
+ - ZERO_ROTATION
+
+ - ATTACH_CHEST
+ - ATTACH_HEAD
+ - ATTACH_LSHOULDER
+ - ATTACH_RSHOULDER
+ - ATTACH_LHAND
+ - ATTACH_RHAND
+ - ATTACH_LFOOT
+ - ATTACH_RFOOT
+ - ATTACH_BACK
+ - ATTACH_PELVIS
+ - ATTACH_MOUTH
+ - ATTACH_CHIN
+ - ATTACH_LEAR
+ - ATTACH_REAR
+ - ATTACH_LEYE
+ - ATTACH_REYE
+ - ATTACH_NOSE
+ - ATTACH_RUARM
+ - ATTACH_RLARM
+ - ATTACH_LUARM
+ - ATTACH_LLARM
+ - ATTACH_RHIP
+ - ATTACH_RULEG
+ - ATTACH_RLLEG
+ - ATTACH_LHIP
+ - ATTACH_LULEG
+ - ATTACH_LLLEG
+ - ATTACH_BELLY
+ - ATTACH_LPEC
+ - ATTACH_RPEC
+ - ATTACH_HUD_CENTER_2
+ - ATTACH_HUD_TOP_RIGHT
+ - ATTACH_HUD_TOP_CENTER
+ - ATTACH_HUD_TOP_LEFT
+ - ATTACH_HUD_CENTER_1
+ - ATTACH_HUD_BOTTOM_LEFT
+ - ATTACH_HUD_BOTTOM
+ - ATTACH_HUD_BOTTOM_RIGHT
+
+ - LAND_LEVEL
+ - LAND_RAISE
+ - LAND_LOWER
+ - LAND_SMOOTH
+ - LAND_NOISE
+ - LAND_REVERT
+
+ - LAND_SMALL_BRUSH
+ - LAND_MEDIUM_BRUSH
+ - LAND_LARGE_BRUSH
+
+ - DATA_ONLINE
+ - DATA_NAME
+ - DATA_BORN
+ - DATA_RATING
+ - DATA_SIM_POS
+ - DATA_SIM_STATUS
+ - DATA_SIM_RATING
+ - DATA_PAYINFO
+
+ - PAYMENT_INFO_ON_FILE
+ - PAYMENT_INFO_USED
+
+ - REMOTE_DATA_CHANNEL
+ - REMOTE_DATA_REQUEST
+ - REMOTE_DATA_REPLY
+
+
+ - PSYS_PART_FLAGS
+ - PSYS_PART_START_COLOR
+ - PSYS_PART_START_ALPHA
+ - PSYS_PART_START_SCALE
+ - PSYS_PART_END_COLOR
+ - PSYS_PART_END_ALPHA
+ - PSYS_PART_END_SCALE
+ - PSYS_PART_MAX_AGE
+
+
+ - PSYS_PART_WIND_MASK
+ - PSYS_PART_INTERP_COLOR_MASK
+ - PSYS_PART_INTERP_SCALE_MASK
+ - PSYS_PART_BOUNCE_MASK
+ - PSYS_PART_FOLLOW_SRC_MASK
+ - PSYS_PART_FOLLOW_VELOCITY_MASK
+ - PSYS_PART_TARGET_POS_MASK
+ - PSYS_PART_EMISSIVE_MASK
+ - PSYS_PART_TARGET_LINEAR_MASK
+
+
+ - PSYS_SRC_MAX_AGE
+ - PSYS_SRC_PATTERN
+ - PSYS_SRC_INNERANGLE
+ - PSYS_SRC_OUTERANGLE
+ - PSYS_SRC_ANGLE_BEGIN
+ - PSYS_SRC_ANGLE_END
+ - PSYS_SRC_BURST_RATE
+ - PSYS_SRC_BURST_PART_COUNT
+ - PSYS_SRC_BURST_RADIUS
+ - PSYS_SRC_BURST_SPEED_MIN
+ - PSYS_SRC_BURST_SPEED_MAX
+ - PSYS_SRC_ACCEL
+ - PSYS_SRC_TEXTURE
+ - PSYS_SRC_TARGET_KEY
+ - PSYS_SRC_OMEGA
+
+ - PSYS_SRC_OBJ_REL_MASK
+
+ - PSYS_SRC_PATTERN_DROP
+ - PSYS_SRC_PATTERN_EXPLODE
+ - PSYS_SRC_PATTERN_ANGLE
+ - PSYS_SRC_PATTERN_ANGLE_CONE
+ - PSYS_SRC_PATTERN_ANGLE_CONE_EMPTY
+
+
+ - VEHICLE_TYPE_NONE
+ - VEHICLE_TYPE_SLED
+ - VEHICLE_TYPE_CAR
+ - VEHICLE_TYPE_BOAT
+ - VEHICLE_TYPE_AIRPLANE
+ - VEHICLE_TYPE_BALLOON
+
+ - VEHICLE_REFERENCE_FRAME
+ - VEHICLE_LINEAR_FRICTION_TIMESCALE
+ - VEHICLE_ANGULAR_FRICTION_TIMESCALE
+ - VEHICLE_LINEAR_MOTOR_DIRECTION
+ - VEHICLE_ANGULAR_MOTOR_DIRECTION
+ - VEHICLE_LINEAR_MOTOR_OFFSET
+
+
+
+ - VEHICLE_HOVER_HEIGHT
+ - VEHICLE_HOVER_EFFICIENCY
+ - VEHICLE_HOVER_TIMESCALE
+ - VEHICLE_BUOYANCY
+
+ - VEHICLE_LINEAR_DEFLECTION_EFFICIENCY
+ - VEHICLE_LINEAR_DEFLECTION_TIMESCALE
+ - VEHICLE_LINEAR_MOTOR_TIMESCALE
+ - VEHICLE_LINEAR_MOTOR_DECAY_TIMESCALE
+
+ - VEHICLE_ANGULAR_DEFLECTION_EFFICIENCY
+ - VEHICLE_ANGULAR_DEFLECTION_TIMESCALE
+ - VEHICLE_ANGULAR_MOTOR_TIMESCALE
+ - VEHICLE_ANGULAR_MOTOR_DECAY_TIMESCALE
+
+ - VEHICLE_VERTICAL_ATTRACTION_EFFICIENCY
+ - VEHICLE_VERTICAL_ATTRACTION_TIMESCALE
+
+ - VEHICLE_BANKING_EFFICIENCY
+ - VEHICLE_BANKING_MIX
+ - VEHICLE_BANKING_TIMESCALE
+
+ - VEHICLE_FLAG_NO_FLY_UP
+ - VEHICLE_FLAG_NO_DEFLECTION_UP
+ - VEHICLE_FLAG_LIMIT_ROLL_ONLY
+ - VEHICLE_FLAG_HOVER_WATER_ONLY
+ - VEHICLE_FLAG_HOVER_TERRAIN_ONLY
+ - VEHICLE_FLAG_HOVER_GLOBAL_HEIGHT
+ - VEHICLE_FLAG_HOVER_UP_ONLY
+ - VEHICLE_FLAG_LIMIT_MOTOR_UP
+ - VEHICLE_FLAG_MOUSELOOK_STEER
+ - VEHICLE_FLAG_MOUSELOOK_BANK
+ - VEHICLE_FLAG_CAMERA_DECOUPLED
+
+
+
+ - PRIM_TYPE
+ - PRIM_MATERIAL
+ - PRIM_PHYSICS
+ - PRIM_FLEXIBLE
+ - PRIM_POINT_LIGHT
+ - PRIM_TEMP_ON_REZ
+ - PRIM_PHANTOM
+ - PRIM_CAST_SHADOWS
+ - PRIM_POSITION
+ - PRIM_SIZE
+ - PRIM_ROTATION
+ - PRIM_TEXTURE
+ - PRIM_COLOR
+ - PRIM_BUMP_SHINY
+ - PRIM_FULLBRIGHT
+ - PRIM_TEXGEN
+ - PRIM_GLOW
+
+ - PRIM_TYPE_BOX
+ - PRIM_TYPE_CYLINDER
+ - PRIM_TYPE_PRISM
+ - PRIM_TYPE_SPHERE
+ - PRIM_TYPE_TORUS
+ - PRIM_TYPE_TUBE
+ - PRIM_TYPE_RING
+ - PRIM_TYPE_SCULPT
+
+ - PRIM_HOLE_DEFAULT
+ - PRIM_HOLE_CIRCLE
+ - PRIM_HOLE_SQUARE
+ - PRIM_HOLE_TRIANGLE
+
+ - PRIM_MATERIAL_STONE
+ - PRIM_MATERIAL_METAL
+ - PRIM_MATERIAL_GLASS
+ - PRIM_MATERIAL_WOOD
+ - PRIM_MATERIAL_FLESH
+ - PRIM_MATERIAL_PLASTIC
+ - PRIM_MATERIAL_RUBBER
+ - PRIM_MATERIAL_LIGHT
+
+ - PRIM_SHINY_NONE
+ - PRIM_SHINY_LOW
+ - PRIM_SHINY_MEDIUM
+ - PRIM_SHINY_HIGH
+
+ - PRIM_BUMP_NONE
+ - PRIM_BUMP_BRIGHT
+ - PRIM_BUMP_DARK
+ - PRIM_BUMP_WOOD
+ - PRIM_BUMP_BARK
+ - PRIM_BUMP_BRICKS
+ - PRIM_BUMP_CHECKER
+ - PRIM_BUMP_CONCRETE
+ - PRIM_BUMP_TILE
+ - PRIM_BUMP_STONE
+ - PRIM_BUMP_DISKS
+ - PRIM_BUMP_GRAVEL
+ - PRIM_BUMP_BLOBS
+ - PRIM_BUMP_SIDING
+ - PRIM_BUMP_LARGETILE
+ - PRIM_BUMP_STUCCO
+ - PRIM_BUMP_SUCTION
+ - PRIM_BUMP_WEAVE
+
+ - PRIM_TEXGEN_DEFAULT
+ - PRIM_TEXGEN_PLANAR
+
+ - PRIM_SCULPT_TYPE_SPHERE
+ - PRIM_SCULPT_TYPE_TORUS
+ - PRIM_SCULPT_TYPE_PLANE
+ - PRIM_SCULPT_TYPE_CYLINDER
+ - PRIM_SCULPT_TYPE_MASK
+ - PRIM_SCULPT_FLAG_MIRROR
+ - PRIM_SCULPT_FLAG_INVERT
+
+ - MASK_BASE
+ - MASK_OWNER
+ - MASK_GROUP
+ - MASK_EVERYONE
+ - MASK_NEXT
+
+ - PERM_TRANSFER
+ - PERM_MODIFY
+ - PERM_COPY
+ - PERM_MOVE
+ - PERM_ALL
+
+ - PARCEL_MEDIA_COMMAND_STOP
+ - PARCEL_MEDIA_COMMAND_PAUSE
+ - PARCEL_MEDIA_COMMAND_PLAY
+ - PARCEL_MEDIA_COMMAND_LOOP
+ - PARCEL_MEDIA_COMMAND_TEXTURE
+ - PARCEL_MEDIA_COMMAND_URL
+ - PARCEL_MEDIA_COMMAND_TIME
+ - PARCEL_MEDIA_COMMAND_AGENT
+ - PARCEL_MEDIA_COMMAND_UNLOAD
+ - PARCEL_MEDIA_COMMAND_AUTO_ALIGN
+ - PARCEL_MEDIA_COMMAND_TYPE
+ - PARCEL_MEDIA_COMMAND_SIZE
+ - PARCEL_MEDIA_COMMAND_DESC
+ - PARCEL_MEDIA_COMMAND_LOOP_SET
+
+ - LIST_STAT_MAX
+ - LIST_STAT_MIN
+ - LIST_STAT_MEAN
+ - LIST_STAT_MEDIAN
+ - LIST_STAT_STD_DEV
+ - LIST_STAT_SUM
+ - LIST_STAT_SUM_SQUARES
+ - LIST_STAT_NUM_COUNT
+ - LIST_STAT_GEOMETRIC_MEAN
+ - LIST_STAT_RANGE
+
+ - PAY_HIDE
+ - PAY_DEFAULT
+
+ - PARCEL_FLAG_ALLOW_FLY
+ - PARCEL_FLAG_ALLOW_GROUP_SCRIPTS
+ - PARCEL_FLAG_ALLOW_SCRIPTS
+ - PARCEL_FLAG_ALLOW_LANDMARK
+ - PARCEL_FLAG_ALLOW_TERRAFORM
+ - PARCEL_FLAG_ALLOW_DAMAGE
+ - PARCEL_FLAG_ALLOW_CREATE_OBJECTS
+ - PARCEL_FLAG_ALLOW_CREATE_GROUP_OBJECTS
+ - PARCEL_FLAG_USE_ACCESS_GROUP
+ - PARCEL_FLAG_USE_ACCESS_LIST
+ - PARCEL_FLAG_USE_BAN_LIST
+ - PARCEL_FLAG_USE_LAND_PASS_LIST
+ - PARCEL_FLAG_LOCAL_SOUND_ONLY
+ - PARCEL_FLAG_RESTRICT_PUSHOBJECT
+ - PARCEL_FLAG_ALLOW_GROUP_OBJECT_ENTRY
+ - PARCEL_FLAG_ALLOW_ALL_OBJECT_ENTRY
+
+ - REGION_FLAG_ALLOW_DAMAGE
+ - REGION_FLAG_FIXED_SUN
+ - REGION_FLAG_BLOCK_TERRAFORM
+ - REGION_FLAG_SANDBOX
+ - REGION_FLAG_DISABLE_COLLISIONS
+ - REGION_FLAG_DISABLE_PHYSICS
+ - REGION_FLAG_BLOCK_FLY
+ - REGION_FLAG_ALLOW_DIRECT_TELEPORT
+ - REGION_FLAG_RESTRICT_PUSHOBJECT
+
+ - HTTP_METHOD
+ - HTTP_MIMETYPE
+ - HTTP_BODY_MAXLENGTH
+ - HTTP_BODY_TRUNCATED
+ - HTTP_VERIFY_CERT
+
+ - PARCEL_COUNT_TOTAL
+ - PARCEL_COUNT_OWNER
+ - PARCEL_COUNT_GROUP
+ - PARCEL_COUNT_OTHER
+ - PARCEL_COUNT_SELECTED
+ - PARCEL_COUNT_TEMP
+
+ - PARCEL_DETAILS_NAME
+ - PARCEL_DETAILS_DESC
+ - PARCEL_DETAILS_OWNER
+ - PARCEL_DETAILS_GROUP
+ - PARCEL_DETAILS_AREA
+
+ - STRING_TRIM_HEAD
+ - STRING_TRIM_TAIL
+ - STRING_TRIM
+
+ - CLICK_ACTION_NONE
+ - CLICK_ACTION_TOUCH
+ - CLICK_ACTION_SIT
+ - CLICK_ACTION_BUY
+ - CLICK_ACTION_PAY
+ - CLICK_ACTION_OPEN
+ - CLICK_ACTION_PLAY
+ - CLICK_ACTION_OPEN_MEDIA
+
+ - TEXTURE_BLANK
+ - TEXTURE_DEFAULT
+ - TEXTURE_MEDIA
+ - TEXTURE_PLYWOOD
+ - TEXTURE_TRANSPARENT
+
+ - TOUCH_INVALID_FACE
+ - TOUCH_INVALID_VECTOR
+ - TOUCH_INVALID_TEXCOORD
- - ++
- - --
- - +=
- - -=
- - *=
- - /=
- - %=
- - ;
- - ,
- - =
- - (
- - )
- - -
- - +
- - *
- - /
- - %
- - @
- - :
- - >
- - <
- - ==
- - !=
- - >=
- - <=
- - &
- - |
- - ^
- - ~
- - !
- - &&
- - ||
- - <<
- - >>
+ - ++
+ - --
+ - +=
+ - -=
+ - *=
+ - /=
+ - %=
+ - ;
+ - ,
+ - =
+ - (
+ - )
+ - -
+ - +
+ - *
+ - /
+ - %
+ - @
+ - :
+ - >
+ - <
+ - ==
+ - !=
+ - >=
+ - <=
+ - &
+ - |
+ - ^
+ - ~
+ - !
+ - &&
+ - ||
+ - <<
+ - >>
- - llSin
- - llCos
- - llTan
- - llAtan2
- - llSqrt
- - llPow
- - llAbs
- - llFabs
- - llFrand
- - llFloor
- - llCeil
- - llRound
- - llVecMag
- - llVecNorm
- - llVecDist
- - llRot2Euler
- - llEuler2Rot
- - llAxes2Rot
- - llRot2Fwd
- - llRot2Left
- - llRot2Up
- - llRotBetween
- - llWhisper
- - llSay
- - llShout
- - llListen
- - llListenControl
- - llListenRemove
- - llSensor
- - llSensorRepeat
- - llSensorRemove
- - llDetectedName
- - llDetectedKey
- - llDetectedOwner
- - llDetectedType
- - llDetectedPos
- - llDetectedVel
- - llDetectedGrab
- - llDetectedRot
- - llDetectedGroup
- - llDetectedLinkNumber
- - llDie
- - llGround
- - llCloud
- - llWind
- - llSetStatus
- - llGetStatus
- - llSetScale
- - llGetScale
- - llSetColor
- - llGetAlpha
- - llSetAlpha
- - llGetColor
- - llSetTexture
- - llScaleTexture
- - llOffsetTexture
- - llRotateTexture
- - llGetTexture
- - llSetPos
- - llGetPos
- - llGetLocalPos
- - llSetRot
- - llGetRot
- - llGetLocalRot
- - llSetForce
- - llGetForce
- - llTarget
- - llTargetRemove
- - llRotTarget
- - llRotTargetRemove
- - llMoveToTarget
- - llStopMoveToTarget
- - llApplyImpulse
- - llApplyRotationalImpulse
- - llSetTorque
- - llGetTorque
- - llSetForceAndTorque
- - llGetVel
- - llGetAccel
- - llGetOmega
- - llGetTimeOfDay
- - llGetWallclock
- - llGetTime
- - llResetTime
- - llGetAndResetTime
- - llSound
- - llPlaySound
- - llLoopSound
- - llLoopSoundMaster
- - llLoopSoundSlave
- - llPlaySoundSlave
- - llTriggerSound
- - llStopSound
- - llPreloadSound
- - llGetSubString
- - llDeleteSubString
- - llInsertString
- - llToUpper
- - llToLower
- - llGiveMoney
- - llMakeExplosion
- - llMakeFountain
- - llMakeSmoke
- - llMakeFire
- - llRezObject
- - llLookAt
- - llStopLookAt
- - llSetTimerEvent
- - llSleep
- - llGetMass
- - llCollisionFilter
- - llTakeControls
- - llReleaseControls
- - llAttachToAvatar
- - llDetachFromAvatar
- - llTakeCamera
- - llReleaseCamera
- - llGetOwner
- - llInstantMessage
- - llEmail
- - llGetNextEmail
- - llGetKey
- - llSetBuoyancy
- - llSetHoverHeight
- - llStopHover
- - llMinEventDelay
- - llSoundPreload
- - llRotLookAt
- - llStringLength
- - llStartAnimation
- - llStopAnimation
- - llPointAt
- - llStopPointAt
- - llTargetOmega
- - llGetStartParameter
- - llGodLikeRezObject
- - llRequestPermissions
- - llGetPermissionsKey
- - llGetPermissions
- - llGetLinkNumber
- - llSetLinkColor
- - llCreateLink
- - llBreakLink
- - llBreakAllLinks
- - llGetLinkKey
- - llGetLinkName
- - llGetInventoryNumber
- - llGetInventoryName
- - llSetScriptState
- - llGetEnergy
- - llGiveInventory
- - llRemoveInventory
- - llSetText
- - llWater
- - llPassTouches
- - llRequestAgentData
- - llRequestInventoryData
- - llSetDamage
- - llTeleportAgentHome
- - llModifyLand
- - llCollisionSound
- - llCollisionSprite
- - llGetAnimation
- - llResetScript
- - llMessageLinked
- - llPushObject
- - llPassCollisions
- - llGetScriptName
- - llGetNumberOfSides
- - llAxisAngle2Rot
- - llRot2Axis
- - llRot2Angle
- - llAcos
- - llAsin
- - llAngleBetween
- - llGetInventoryKey
- - llAllowInventoryDrop
- - llGetSunDirection
- - llGetTextureOffset
- - llGetTextureScale
- - llGetTextureRot
- - llSubStringIndex
- - llGetOwnerKey
- - llGetCenterOfMass
- - llListSort
- - llGetListLength
- - llList2Integer
- - llList2Float
- - llList2String
- - llList2Key
- - llList2Vector
- - llList2Rot
- - llList2List
- - llDeleteSubList
- - llGetListEntryType
- - llList2CSV
- - llCSV2List
- - llListRandomize
- - llList2ListStrided
- - llGetRegionCorner
- - llListInsertList
- - llListFindList
- - llGetObjectName
- - llSetObjectName
- - llGetDate
- - llEdgeOfWorld
- - llGetAgentInfo
- - llAdjustSoundVolume
- - llSetSoundQueueing
- - llSetSoundRadius
- - llKey2Name
- - llSetTextureAnim
- - llTriggerSoundLimited
- - llEjectFromLand
- - llParseString2List
- - llOverMyLand
- - llGetLandOwnerAt
- - llGetNotecardLine
- - llGetAgentSize
- - llSameGroup
- - llUnSit
- - llGroundSlope
- - llGroundNormal
- - llGroundContour
- - llGetAttached
- - llGetFreeMemory
- - llGetRegionName
- - llGetRegionTimeDilation
- - llGetRegionFPS
-
- - llParticleSystem
- - llGroundRepel
- - llGiveInventoryList
-
- - llSetVehicleType
- - llSetVehicleFloatParam
- - llSetVehicleVectorParam
- - llSetVehicleRotationParam
- - llSetVehicleFlags
- - llRemoveVehicleFlags
- - llSitTarget
- - llAvatarOnSitTarget
- - llAddToLandPassList
- - llSetTouchText
- - llSetSitText
- - llSetCameraEyeOffset
- - llSetCameraAtOffset
-
- - llDumpList2String
- - llScriptDanger
- - llDialog
- - llVolumeDetect
- - llResetOtherScript
- - llGetScriptState
- - llRemoteLoadScript
-
- - llSetRemoteScriptAccessPin
- - llRemoteLoadScriptPin
-
- - llOpenRemoteDataChannel
- - llSendRemoteData
- - llRemoteDataReply
- - llCloseRemoteDataChannel
-
- - llMD5String
- - llSetPrimitiveParams
- - llStringToBase64
- - llBase64ToString
- - llXorBase64Strings
- - llRemoteDataSetRegion
- - llLog10
- - llLog
- - llGetAnimationList
- - llSetParcelMusicURL
-
- - llGetRootPosition
- - llGetRootRotation
-
- - llGetObjectDesc
- - llSetObjectDesc
- - llGetCreator
- - llGetTimestamp
- - llSetLinkAlpha
- - llGetNumberOfPrims
- - llGetNumberOfNotecardLines
-
- - llGetBoundingBox
- - llGetGeometricCenter
- - llGetPrimitiveParams
- - llIntegerToBase64
- - llBase64ToInteger
- - llGetGMTclock
- - llGetSimulatorHostname
-
- - llSetLocalRot
-
- - llParseStringKeepNulls
- - llRezAtRoot
-
- - llGetObjectPermMask
- - llSetObjectPermMask
-
- - llGetInventoryPermMask
- - llSetInventoryPermMask
- - llGetInventoryCreator
- - llOwnerSay
- - llRequestSimulatorData
- - llForceMouselook
- - llGetObjectMass
- - llListReplaceList
- - llLoadURL
-
- - llParcelMediaCommandList
- - llParcelMediaQuery
-
- - llModPow
-
- - llGetInventoryType
- - llSetPayPrice
- - llGetCameraPos
- - llGetCameraRot
-
- - llSetPrimURL
- - llRefreshPrimURL
- - llEscapeURL
- - llUnescapeURL
-
- - llMapDestination
- - llAddToLandBanList
- - llRemoveFromLandPassList
- - llRemoveFromLandBanList
-
- - llSetCameraParams
- - llClearCameraParams
-
- - llListStatistics
- - llGetUnixTime
- - llGetParcelFlags
- - llGetRegionFlags
- - llXorBase64StringsCorrect
-
- - llHTTPRequest
-
- - llResetLandBanList
- - llResetLandPassList
-
- - llGetObjectPrimCount
- - llGetParcelPrimOwners
- - llGetParcelPrimCount
- - llGetParcelMaxPrims
- - llGetParcelDetails
-
- - llSetLinkPrimitiveParams
- - llSetLinkTexture
-
-
- - llStringTrim
- - llRegionSay
- - llGetObjectDetails
- - llSetClickAction
-
- - llGetRegionAgentCount
- - llTextBox
- - llGetAgentLanguage
- - llDetectedTouchUV
- - llDetectedTouchFace
- - llDetectedTouchPos
- - llDetectedTouchNormal
- - llDetectedTouchBinormal
- - llDetectedTouchST
-
- - llSHA1String
+ - llSin
+ - llCos
+ - llTan
+ - llAtan2
+ - llSqrt
+ - llPow
+ - llAbs
+ - llFabs
+ - llFrand
+ - llFloor
+ - llCeil
+ - llRound
+ - llVecMag
+ - llVecNorm
+ - llVecDist
+ - llRot2Euler
+ - llEuler2Rot
+ - llAxes2Rot
+ - llRot2Fwd
+ - llRot2Left
+ - llRot2Up
+ - llRotBetween
+ - llWhisper
+ - llSay
+ - llShout
+ - llListen
+ - llListenControl
+ - llListenRemove
+ - llSensor
+ - llSensorRepeat
+ - llSensorRemove
+ - llDetectedName
+ - llDetectedKey
+ - llDetectedOwner
+ - llDetectedType
+ - llDetectedPos
+ - llDetectedVel
+ - llDetectedGrab
+ - llDetectedRot
+ - llDetectedGroup
+ - llDetectedLinkNumber
+ - llDie
+ - llGround
+ - llCloud
+ - llWind
+ - llSetStatus
+ - llGetStatus
+ - llSetScale
+ - llGetScale
+ - llSetColor
+ - llGetAlpha
+ - llSetAlpha
+ - llGetColor
+ - llSetTexture
+ - llScaleTexture
+ - llOffsetTexture
+ - llRotateTexture
+ - llGetTexture
+ - llSetPos
+ - llGetPos
+ - llGetLocalPos
+ - llSetRot
+ - llGetRot
+ - llGetLocalRot
+ - llSetForce
+ - llGetForce
+ - llTarget
+ - llTargetRemove
+ - llRotTarget
+ - llRotTargetRemove
+ - llMoveToTarget
+ - llStopMoveToTarget
+ - llApplyImpulse
+ - llApplyRotationalImpulse
+ - llSetTorque
+ - llGetTorque
+ - llSetForceAndTorque
+ - llGetVel
+ - llGetAccel
+ - llGetOmega
+ - llGetTimeOfDay
+ - llGetWallclock
+ - llGetTime
+ - llResetTime
+ - llGetAndResetTime
+ - llSound
+ - llPlaySound
+ - llLoopSound
+ - llLoopSoundMaster
+ - llLoopSoundSlave
+ - llPlaySoundSlave
+ - llTriggerSound
+ - llStopSound
+ - llPreloadSound
+ - llGetSubString
+ - llDeleteSubString
+ - llInsertString
+ - llToUpper
+ - llToLower
+ - llGiveMoney
+ - llMakeExplosion
+ - llMakeFountain
+ - llMakeSmoke
+ - llMakeFire
+ - llRezObject
+ - llLookAt
+ - llStopLookAt
+ - llSetTimerEvent
+ - llSleep
+ - llGetMass
+ - llCollisionFilter
+ - llTakeControls
+ - llReleaseControls
+ - llAttachToAvatar
+ - llDetachFromAvatar
+ - llTakeCamera
+ - llReleaseCamera
+ - llGetOwner
+ - llInstantMessage
+ - llEmail
+ - llGetNextEmail
+ - llGetKey
+ - llSetBuoyancy
+ - llSetHoverHeight
+ - llStopHover
+ - llMinEventDelay
+ - llSoundPreload
+ - llRotLookAt
+ - llStringLength
+ - llStartAnimation
+ - llStopAnimation
+ - llPointAt
+ - llStopPointAt
+ - llTargetOmega
+ - llGetStartParameter
+ - llGodLikeRezObject
+ - llRequestPermissions
+ - llGetPermissionsKey
+ - llGetPermissions
+ - llGetLinkNumber
+ - llSetLinkColor
+ - llCreateLink
+ - llBreakLink
+ - llBreakAllLinks
+ - llGetLinkKey
+ - llGetLinkName
+ - llGetInventoryNumber
+ - llGetInventoryName
+ - llSetScriptState
+ - llGetEnergy
+ - llGiveInventory
+ - llRemoveInventory
+ - llSetText
+ - llWater
+ - llPassTouches
+ - llRequestAgentData
+ - llRequestInventoryData
+ - llSetDamage
+ - llTeleportAgentHome
+ - llModifyLand
+ - llCollisionSound
+ - llCollisionSprite
+ - llGetAnimation
+ - llResetScript
+ - llMessageLinked
+ - llPushObject
+ - llPassCollisions
+ - llGetScriptName
+ - llGetNumberOfSides
+ - llAxisAngle2Rot
+ - llRot2Axis
+ - llRot2Angle
+ - llAcos
+ - llAsin
+ - llAngleBetween
+ - llGetInventoryKey
+ - llAllowInventoryDrop
+ - llGetSunDirection
+ - llGetTextureOffset
+ - llGetTextureScale
+ - llGetTextureRot
+ - llSubStringIndex
+ - llGetOwnerKey
+ - llGetCenterOfMass
+ - llListSort
+ - llGetListLength
+ - llList2Integer
+ - llList2Float
+ - llList2String
+ - llList2Key
+ - llList2Vector
+ - llList2Rot
+ - llList2List
+ - llDeleteSubList
+ - llGetListEntryType
+ - llList2CSV
+ - llCSV2List
+ - llListRandomize
+ - llList2ListStrided
+ - llGetRegionCorner
+ - llListInsertList
+ - llListFindList
+ - llGetObjectName
+ - llSetObjectName
+ - llGetDate
+ - llEdgeOfWorld
+ - llGetAgentInfo
+ - llAdjustSoundVolume
+ - llSetSoundQueueing
+ - llSetSoundRadius
+ - llKey2Name
+ - llSetTextureAnim
+ - llTriggerSoundLimited
+ - llEjectFromLand
+ - llParseString2List
+ - llOverMyLand
+ - llGetLandOwnerAt
+ - llGetNotecardLine
+ - llGetAgentSize
+ - llSameGroup
+ - llUnSit
+ - llGroundSlope
+ - llGroundNormal
+ - llGroundContour
+ - llGetAttached
+ - llGetFreeMemory
+ - llGetRegionName
+ - llGetRegionTimeDilation
+ - llGetRegionFPS
+
+ - llParticleSystem
+ - llGroundRepel
+ - llGiveInventoryList
+
+ - llSetVehicleType
+ - llSetVehicleFloatParam
+ - llSetVehicleVectorParam
+ - llSetVehicleRotationParam
+ - llSetVehicleFlags
+ - llRemoveVehicleFlags
+ - llSitTarget
+ - llAvatarOnSitTarget
+ - llAddToLandPassList
+ - llSetTouchText
+ - llSetSitText
+ - llSetCameraEyeOffset
+ - llSetCameraAtOffset
+
+ - llDumpList2String
+ - llScriptDanger
+ - llDialog
+ - llVolumeDetect
+ - llResetOtherScript
+ - llGetScriptState
+ - llRemoteLoadScript
+
+ - llSetRemoteScriptAccessPin
+ - llRemoteLoadScriptPin
+
+ - llOpenRemoteDataChannel
+ - llSendRemoteData
+ - llRemoteDataReply
+ - llCloseRemoteDataChannel
+
+ - llMD5String
+ - llSetPrimitiveParams
+ - llStringToBase64
+ - llBase64ToString
+ - llXorBase64Strings
+ - llRemoteDataSetRegion
+ - llLog10
+ - llLog
+ - llGetAnimationList
+ - llSetParcelMusicURL
+
+ - llGetRootPosition
+ - llGetRootRotation
+
+ - llGetObjectDesc
+ - llSetObjectDesc
+ - llGetCreator
+ - llGetTimestamp
+ - llSetLinkAlpha
+ - llGetNumberOfPrims
+ - llGetNumberOfNotecardLines
+
+ - llGetBoundingBox
+ - llGetGeometricCenter
+ - llGetPrimitiveParams
+ - llIntegerToBase64
+ - llBase64ToInteger
+ - llGetGMTclock
+ - llGetSimulatorHostname
+
+ - llSetLocalRot
+
+ - llParseStringKeepNulls
+ - llRezAtRoot
+
+ - llGetObjectPermMask
+ - llSetObjectPermMask
+
+ - llGetInventoryPermMask
+ - llSetInventoryPermMask
+ - llGetInventoryCreator
+ - llOwnerSay
+ - llRequestSimulatorData
+ - llForceMouselook
+ - llGetObjectMass
+ - llListReplaceList
+ - llLoadURL
+
+ - llParcelMediaCommandList
+ - llParcelMediaQuery
+
+ - llModPow
+
+ - llGetInventoryType
+ - llSetPayPrice
+ - llGetCameraPos
+ - llGetCameraRot
+
+ - llSetPrimURL
+ - llRefreshPrimURL
+ - llEscapeURL
+ - llUnescapeURL
+
+ - llMapDestination
+ - llAddToLandBanList
+ - llRemoveFromLandPassList
+ - llRemoveFromLandBanList
+
+ - llSetCameraParams
+ - llClearCameraParams
+
+ - llListStatistics
+ - llGetUnixTime
+ - llGetParcelFlags
+ - llGetRegionFlags
+ - llXorBase64StringsCorrect
+
+ - llHTTPRequest
+
+ - llResetLandBanList
+ - llResetLandPassList
+
+ - llGetObjectPrimCount
+ - llGetParcelPrimOwners
+ - llGetParcelPrimCount
+ - llGetParcelMaxPrims
+ - llGetParcelDetails
+
+ - llSetLinkPrimitiveParams
+ - llSetLinkTexture
+
+
+ - llStringTrim
+ - llRegionSay
+ - llGetObjectDetails
+ - llSetClickAction
+
+ - llGetRegionAgentCount
+ - llTextBox
+ - llGetAgentLanguage
+ - llDetectedTouchUV
+ - llDetectedTouchFace
+ - llDetectedTouchPos
+ - llDetectedTouchNormal
+ - llDetectedTouchBinormal
+ - llDetectedTouchST
+
+ - llSHA1String
diff --git a/kate/data/magma.xml b/kate/data/magma.xml
index 80a7521da..a2fe461af 100644
--- a/kate/data/magma.xml
+++ b/kate/data/magma.xml
@@ -7,107 +7,107 @@
extensions="*.m;*.mag">
- - and
- - assert
- - assigned
- - attributes
- - break
- - by
- - case
- - cat
- - cmpeq
- - cmpne
- - continue
- - declare
- - delete
- - diff
- - div
- - do
- - else
- - elif
- - end
- - eq
- - error
- - exists
- - false
- - for
- - forall
- - forward
- - freeze
- - function
- - ge
- - gt
- - if
- - import
- - in
- - intrinsic
- - join
- - le
- - lt
- - max
- - meet
- - min
- - mod
- - ne
- - not
- - or
- - procedure
- - quit
- - repeat
- - require
- - requirege
- - requirerange
- - return
- - sdiff
- - select
- - then
- - time
- - to
- - true
- - until
- - verbose
- - vprint
- - vtime
- - when
- - while
- - xor
+ - and
+ - assert
+ - assigned
+ - attributes
+ - break
+ - by
+ - case
+ - cat
+ - cmpeq
+ - cmpne
+ - continue
+ - declare
+ - delete
+ - diff
+ - div
+ - do
+ - else
+ - elif
+ - end
+ - eq
+ - error
+ - exists
+ - false
+ - for
+ - forall
+ - forward
+ - freeze
+ - function
+ - ge
+ - gt
+ - if
+ - import
+ - in
+ - intrinsic
+ - join
+ - le
+ - lt
+ - max
+ - meet
+ - min
+ - mod
+ - ne
+ - not
+ - or
+ - procedure
+ - quit
+ - repeat
+ - require
+ - requirege
+ - requirerange
+ - return
+ - sdiff
+ - select
+ - then
+ - time
+ - to
+ - true
+ - until
+ - verbose
+ - vprint
+ - vtime
+ - when
+ - while
+ - xor
- - Booleans
- - EllipticCurve
- - ExtensionField
- - FiniteField
- - GF
- - HyperellipticCurve
- - Integers
- - Jacobian
- - PolynomialRing
- - Rationals
- - RealField
+ - Booleans
+ - EllipticCurve
+ - ExtensionField
+ - FiniteField
+ - GF
+ - HyperellipticCurve
+ - Integers
+ - Jacobian
+ - PolynomialRing
+ - Rationals
+ - RealField
- - AddAttribute
- - AssignNames
- - Attach
- - AttachSpec
- - CoveringStructure
- - Cputime
- - Detach
- - DetachSpec
- - ElementType
- - ExistsCoveringStructure
- - ExtendedType
- - GetAttributes
- - GetSeed
- - ISA
- - IsCoercible
- - IsIntrinsic
- - ListAttributes
- - MakeType
- - Random
- - Realtime
- - SetSeed
- - Type
+ - AddAttribute
+ - AssignNames
+ - Attach
+ - AttachSpec
+ - CoveringStructure
+ - Cputime
+ - Detach
+ - DetachSpec
+ - ElementType
+ - ExistsCoveringStructure
+ - ExtendedType
+ - GetAttributes
+ - GetSeed
+ - ISA
+ - IsCoercible
+ - IsIntrinsic
+ - ListAttributes
+ - MakeType
+ - Random
+ - Realtime
+ - SetSeed
+ - Type
diff --git a/kate/data/mandoc.xml b/kate/data/mandoc.xml
index 4fd8b2a65..ed23b826f 100644
--- a/kate/data/mandoc.xml
+++ b/kate/data/mandoc.xml
@@ -1,6 +1,6 @@
-
+
@@ -65,7 +65,6 @@
-
diff --git a/kate/data/mason.xml b/kate/data/mason.xml
index 394dde780..32c2885b6 100644
--- a/kate/data/mason.xml
+++ b/kate/data/mason.xml
@@ -1,69 +1,69 @@
-
+
- - sub
- - bless
- - caller
- - cmp
- - print
- - echo
- - die
- - import
- - lt
- - le
- - local
- - defined
- - last
- - !
- - ||
- - eq
- - ne
- - use
- - elsif
- - my
- - foreach
- - wantarray
- - push
- - pop
- - dbmclose
- - dbmopen
- - dump
- - each
- - ge
- - gt
- - split
- - open
- - close
- - eval
- - chomp
- - chop
- - unless
- - undef
- - next
- - unlink
- - new
- - and
- - not
- - no
- - ref
- - redo
- - require
- - tied
- - tie
- - untie
- - or
- - xor
- - continue
- - do
- - else
- - for
- - goto
- - if
- - return
- - switch
- - while
+ - sub
+ - bless
+ - caller
+ - cmp
+ - print
+ - echo
+ - die
+ - import
+ - lt
+ - le
+ - local
+ - defined
+ - last
+ - !
+ - ||
+ - eq
+ - ne
+ - use
+ - elsif
+ - my
+ - foreach
+ - wantarray
+ - push
+ - pop
+ - dbmclose
+ - dbmopen
+ - dump
+ - each
+ - ge
+ - gt
+ - split
+ - open
+ - close
+ - eval
+ - chomp
+ - chop
+ - unless
+ - undef
+ - next
+ - unlink
+ - new
+ - and
+ - not
+ - no
+ - ref
+ - redo
+ - require
+ - tied
+ - tie
+ - untie
+ - or
+ - xor
+ - continue
+ - do
+ - else
+ - for
+ - goto
+ - if
+ - return
+ - switch
+ - while
@@ -103,7 +103,6 @@
-
@@ -179,7 +178,6 @@
-
@@ -214,7 +212,6 @@
-
diff --git a/kate/data/matlab.xml b/kate/data/matlab.xml
index e2013561e..7dda0bd99 100644
--- a/kate/data/matlab.xml
+++ b/kate/data/matlab.xml
@@ -4,7 +4,7 @@
MATLAB syntax highlighting file for the TDE editors Kate and Kwrite
====================================================================
works (at least) for MATLAB versions 5.3, 6.0, 6.1, 6.5, 6.5sp1
- works with Kate 2.2 and with Kwrite 4.2 (TDE 3.2)
+ works with Kate 2.2 and with Kwrite 4.2
This file works only for syntactically correct MATLAB code. For incorrect
code, the behaviour is undefined. One exception is made: Incomplete strings
@@ -57,34 +57,34 @@
-
+
- - break
- - case
- - catch
- - classdef
- - continue
- - else
- - elseif
- - end
- - for
- - function
- - global
- - if
- - otherwise
- - parfor
- - persistent
- - return
- - spmd
- - switch
- - try
- - while
- - methods
- - properties
- - events
+ - break
+ - case
+ - catch
+ - classdef
+ - continue
+ - else
+ - elseif
+ - end
+ - for
+ - function
+ - global
+ - if
+ - otherwise
+ - parfor
+ - persistent
+ - return
+ - spmd
+ - switch
+ - try
+ - while
+ - methods
+ - properties
+ - events
diff --git a/kate/data/mel.xml b/kate/data/mel.xml
index 522dabacc..8806852cd 100644
--- a/kate/data/mel.xml
+++ b/kate/data/mel.xml
@@ -20,25 +20,25 @@ with this program; if not, write to the Free Software Foundation, Inc.,
51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
-->
-
+
- - break
- - case
- - continue
- - default
- - do
- - else
- - false
- - for
- - global
- - if
- - in
- - proc
- - return
- - switch
- - true
- - while
+ - break
+ - case
+ - continue
+ - default
+ - do
+ - else
+ - false
+ - for
+ - global
+ - if
+ - in
+ - proc
+ - return
+ - switch
+ - true
+ - while
- - about
- - abs
- - addAttr
- - addAttributeEditorNodeHelp
- - addDynamic
- - addNewShelfTab
- - addPanelCategory
- - addPP
- - addPrefixToName
- - advanceToNextDrivenKey
- - affectedNet
- - affects
- - aimConstraint
- - air
- - aliasAttr
- - alias
- - alignCtx
- - alignCurve
- - align
- - alignSurface
- - allViewFit
- - ambientLight
- - angleBetween
- - angle
- - animCurveEditor
- - animDisplay
- - animView
- - annotate
- - appendStringArray
- - applicationName
- - applyAttrPreset
- - applyTake
- - arcLenDimContext
- - arcLengthDimension
- - arclen
- - arrayMapper
- - art3dPaintCtx
- - artAttrCtx
- - artAttrPaintVertexCtx
- - artAttrSkinPaintCtx
- - artAttrTool
- - artBuildPaintMenu
- - artFluidAttrCtx
- - artPuttyCtx
- - artSelectCtx
- - artSetPaintCtx
- - artUserPaintCtx
- - assignCommand
- - assignInputDevice
- - assignViewportFactories
- - attachCurve
- - attachDeviceAttr
- - attachSurface
- - attrColorSliderGrp
- - attrCompatibility
- - attrControlGrp
- - attrEnumOptionMenuGrp
- - attrEnumOptionMenu
- - attrFieldGrp
- - attrFieldSliderGrp
- - attributeExists
- - attributeInfo
- - attributeMenu
- - attributeQuery
- - attrNavigationControlGrp
- - attrPresetEditWin
- - autoKeyframe
- - autoPlace
- - bakeClip
- - bakeFluidShading
- - bakePartialHistory
- - bakeResults
- - bakeSimulation
- - basenameEx
- - basename
- - batchRender
- - bessel
- - bevel
- - bevelPlus
- - bindSkin
- - binMembership
- - blank
- - blend2
- - blendShapeEditor
- - blendShape
- - blendShapePanel
- - blendTwoAttr
- - blindDataType
- - boneLattice
- - boundary
- - boxDollyCtx
- - boxZoomCtx
- - bufferCurve
- - buildBookmarkMenu
- - buildKeyframeMenu
- - button
- - buttonManip
- - cacheFileCombine
- - cacheFile
- - cacheFileMerge
- - cacheFileTrack
- - camera
- - cameraView
- - canCreateManip
- - canvas
- - capitalizeString
- - cat_Animation
- - catch
- - catchQuiet
- - cat_Effects
- - cat_General
- - cat_Language
- - cat_Modeling
- - cat_Rendering
- - cat_System
- - cat_Windows
- - CBG
- - ceil
- - changeSubdivComponentDisplayLevel
- - changeSubdivRegion
- - channelBox
- - character
- - characterize
- - characterMap
- - characterOutlineEditor
- - chdir
- - checkBoxGrp
- - checkBox
- - checkDefaultRenderGlobals
- - choice
- - circle
- - circularFillet
- - clamp
- - clearCache
- - clear
- - clipEditorCurrentTimeCtx
- - clipEditor
- - clip
- - clipSchedule
- - clipSchedulerOutliner
- - clipTrimBefore
- - closeCurve
- - closeSurface
- - cluster
- - cmdFileOutput
- - cmdScrollFieldExecuter
- - cmdScrollFieldReporter
- - cmdShell
- - coarsenSubdivSelectionList
- - collision
- - colorAtPoint
- - colorEditor
- - color
- - colorIndex
- - colorIndexSliderGrp
- - colorSliderButtonGrp
- - colorSliderGrp
- - columnLayout
- - commandEcho
- - commandLine
- - commandPort
- - compactHairSystem
- - componentEditor
- - compositingInterop
- - computePolysetVolume
- - condition
- - cone
- - confirmDialog
- - connectAttr
- - connectControl
- - connectDynamic
- - connectionInfo
- - connectJoint
- - constrain
- - constrainValue
- - constructionHistory
- - container
- - containsMultibyte
- - contextInfo
- - control
- - convertFromOldLayers
- - convertIffToPsd
- - convertLightmap
- - convertSolidTx
- - convertTessellation
- - convertUnit
- - copyArray
- - copyFlexor
- - copyKey
- - copySkinWeights
- - cos
- - cpButton
- - cpCache
- - cpClothSet
- - cpCollision
- - cpConstraint
- - cpConvClothToMesh
- - cpForces
- - cpGetSolverAttr
- - cpPanel
- - cpProperty
- - cpRigidCollisionFilter
- - cpSeam
- - cpSetEdit
- - cpSetSolverAttr
- - cpSolver
- - cpSolverTypes
- - cpTool
- - cpUpdateClothUVs
- - createDisplayLayer
- - createDrawCtx
- - createEditor
- - createLayeredPsdFile
- - createMotionField
- - createNewShelf
- - createNode
- - createRenderLayer
- - createSubdivRegion
- - cross
- - crossProduct
- - ctxAbort
- - ctxCompletion
- - ctxEditMode
- - ctxTraverse
- - currentCtx
- - currentTimeCtx
- - currentTime
- - currentUnit
- - curveAddPtCtx
- - curveCVCtx
- - curveEditorCtx
- - curveEPCtx
- - curve
- - curveIntersect
- - curveMoveEPCtx
- - curveOnSurface
- - curveSketchCtx
- - cutKey
- - cycleCheck
- - cylinder
- - dagPose
- - date
- - defaultLightListCheckBox
- - defaultNavigation
- - defineDataServer
- - defineVirtualDevice
- - deformer
- - deg_to_rad
- - deleteAttr
- - delete
- - deleteShadingGroupsAndMaterials
- - deleteShelfTab
- - deleteUI
- - deleteUnusedBrushes
- - delrandstr
- - detachCurve
- - detachDeviceAttr
- - detachSurface
- - deviceEditor
- - devicePanel
- - dgdirty
- - dgeval
- - dgInfo
- - dgtimer
- - dimWhen
- - directionalLight
- - directKeyCtx
- - dirmap
- - dirname
- - disable
- - disconnectAttr
- - disconnectJoint
- - diskCache
- - displacementToPoly
- - displayAffected
- - displayColor
- - displayCull
- - displayLevelOfDetail
- - displayPref
- - displayRGBColor
- - displaySmoothness
- - displayStats
- - displayString
- - displaySurface
- - distanceDimContext
- - distanceDimension
- - doBlur
- - dollyCtx
- - dolly
- - dopeSheetEditor
- - dot
- - dotProduct
- - doubleProfileBirailSurface
- - dragAttrContext
- - draggerContext
- - drag
- - dropoffLocator
- - duplicateCurve
- - duplicate
- - duplicateSurface
- - dynamicLoad
- - dynCache
- - dynControl
- - dynExport
- - dynExpression
- - dynGlobals
- - dynPaintEditor
- - dynParticleCtx
- - dynPref
- - dynRelEditor
- - dynRelEdPanel
- - editAttrLimits
- - editDisplayLayerGlobals
- - editDisplayLayerMembers
- - editor
- - editorTemplate
- - editRenderLayerAdjustment
- - editRenderLayerGlobals
- - editRenderLayerMembers
- - effector
- - emit
- - emitter
- - enableDevice
- - encodeString
- - endString
- - endsWith
- - env
- - equivalent
- - equivalentTol
- - erf
- - error
- - evalDeferred
- - evalEcho
- - eval
- - event
- - exactWorldBoundingBox
- - exclusiveLightCheckBox
- - exec
- - executeForEachObject
- - exists
- - exp
- - expressionEditorListen
- - expression
- - extendCurve
- - extendSurface
- - extrude
- - fcheck
- - fclose
- - feof
- - fflush
- - fgetline
- - fgetword
- - fileBrowserDialog
- - fileDialog
- - fileExtension
- - file
- - fileInfo
- - filetest
- - filletCurve
- - filterCurve
- - filterExpand
- - filter
- - filterStudioImport
- - findAllIntersections
- - findAnimCurves
- - finder
- - findKeyframe
- - findMenuItem
- - findRelatedSkinCluster
- - firstParentOf
- - fitBspline
- - flexor
- - floatEq
- - floatFieldGrp
- - floatField
- - floatScrollBar
- - floatSlider2
- - floatSliderButtonGrp
- - floatSliderGrp
- - floatSlider
- - floor
- - flow
- - fluidCacheInfo
- - fluidEmitter
- - fluidVoxelInfo
- - flushUndo
- - fmod
- - fontDialog
- - fopen
- - format
- - formLayout
- - fprint
- - frameLayout
- - frame_search
- - fread
- - freeFormFillet
- - frewind
- - fromNativePath
- - fwrite
- - gamma
- - gauss
- - geometryConstraint
- - getApplicationVersionAsFloat
- - getAttr
- - getClassification
- - getDefaultBrush
- - getenv
- - getFileList
- - getFluidAttr
- - getInputDeviceRange
- - getMayaPanelTypes
- - getModifiers
- - getPanel
- - getParticleAttr
- - getpid
- - getPluginResource
- - globalStitch
- - glRenderEditor
- - glRender
- - gmatch
- - goal
- - gotoBindPose
- - grabColor
- - gradientControl
- - gradientControlNoAttr
- - graphDollyCtx
- - graphSelectContext
- - graphTrackCtx
- - gravity
- - grid
- - gridLayout
- - group
- - groupObjectsByName
- - hardenPointCurve
- - hardware
- - hardwareRenderPanel
- - headsUpDisplay
- - headsUpMessage
- - help
- - helpLine
- - hermite
- - HfAddAttractorToAS
- - HfAssignAS
- - HfBuildEqualMap
- - HfBuildFurFiles
- - HfBuildFurImages
- - HfCancelAFR
- - HfConnectASToHF
- - HfCreateAttractor
- - HfDeleteAS
- - HfEditAS
- - HfPerformCreateAS
- - HfRemoveAttractorFromAS
- - HfSelectAttached
- - HfSelectAttractors
- - HfUnassignAS
- - hide
- - hilite
- - hitTest
- - hotBox
- - hotkeyCheck
- - hotkey
- - hsv_to_rgb
- - hudButton
- - hudSliderButton
- - hudSlider
- - hwReflectionMap
- - hwRender
- - hwRenderLoad
- - hyperGraph
- - hyperPanel
- - hyperShade
- - hypot
- - iconTextButton
- - iconTextCheckBox
- - iconTextRadioButton
- - iconTextRadioCollection
- - iconTextScrollList
- - iconTextStaticLabel
- - ikfkDisplayMethod
- - ikHandleCtx
- - ikHandleDisplayScale
- - ikHandle
- - ikSolver
- - ikSplineHandleCtx
- - ikSystem
- - ikSystemInfo
- - illustratorCurves
- - image
- - imfPlugins
- - index_all
- - index
- - index_overview
- - index_substring
- - inheritTransform
- - insertJointCtx
- - insertJoint
- - insertKeyCtx
- - insertKnotCurve
- - insertKnotSurface
- - instanceable
- - instance
- - instancer
- - internalVar
- - intersect
- - interToUI
- - intFieldGrp
- - intField
- - intScrollBar
- - intSliderGrp
- - intSlider
- - iprEngine
- - isAnimCurve
- - isConnected
- - isDirty
- - isolateSelect
- - isParentOf
- - isSameObject
- - isTrue
- - isValidObjectName
- - isValidString
- - isValidUiName
- - itemFilterAttr
- - itemFilter
- - itemFilterRender
- - itemFilterType
- - jointCluster
- - jointCtx
- - jointDisplayScale
- - joint
- - jointLattice
- - keyframe
- - keyframeOutliner
- - keyframeRegionCurrentTimeCtx
- - keyframeRegionDirectKeyCtx
- - keyframeRegionDollyCtx
- - keyframeRegionInsertKeyCtx
- - keyframeRegionMoveKeyCtx
- - keyframeRegionScaleKeyCtx
- - keyframeRegionSelectKeyCtx
- - keyframeRegionSetKeyCtx
- - keyframeRegionTrackCtx
- - keyframeStats
- - keyTangent
- - lassoContext
- - latticeDeformKeyCtx
- - lattice
- - launch
- - launchImageEditor
- - layerButton
- - layeredShaderPort
- - layeredTexturePort
- - layoutDialog
- - layout
- - lightlink
- - lightListEditor
- - lightList
- - lightListPanel
- - linearPrecision
- - lineIntersection
- - linstep
- - listAnimatable
- - listAttr
- - listCameras
- - listConnections
- - listDeviceAttachments
- - listerEditor
- - listHistory
- - listInputDeviceAxes
- - listInputDeviceButtons
- - listInputDevices
- - listMenuAnnotation
- - listNodeTypes
- - listPanelCategories
- - listRelatives
- - listSets
- - listTransforms
- - listUnselected
- - loadFluid
- - loadNewShelf
- - loadPlugin
- - loadPluginLanguageResources
- - loadPrefObjects
- - localizedPanelLabel
- - lockNode
- - loft
- - log
- - longNameOf
- - lookThru
- - ls
- - lsThroughFilter
- - lsType
- - lsUI
- - mag
- - makebot
- - makeIdentity
- - makeLive
- - makePaintable
- - makeRoll
- - makeSingleSurface
- - makeTubeOn
- - manipMoveContext
- - manipMoveLimitsCtx
- - manipOptions
- - manipRotateContext
- - manipRotateLimitsCtx
- - manipScaleContext
- - manipScaleLimitsCtx
- - marker
- - match
- - max
- - Mayatomr
- - memory
- - menuBarLayout
- - menuEditor
- - menu
- - menuItem
- - menuItemToShelf
- - menuSet
- - menuSetPref
- - messageLine
- - min
- - minimizeApp
- - mirrorJoint
- - modelCurrentTimeCtx
- - modelEditor
- - modelPanel
- - mouse
- - move
- - moveIKtoFK
- - moveKeyCtx
- - moveVertexAlongDirection
- - movIn
- - movOut
- - multiProfileBirailSurface
- - mute
- - nameCommand
- - nameField
- - namespace
- - namespaceInfo
- - nav_Animation
- - nav_Effects
- - nav_General
- - nav_Language
- - nav_Modeling
- - nav_Rendering
- - nav_System
- - nav_Windows
- - newPanelItems
- - newton
- - nodeCast
- - nodeIconButton
- - nodeOutliner
- - nodePreset
- - nodeType
- - noise
- - nonLinear
- - normalConstraint
- - normalize
- - nParticle
- - nurbsBoolean
- - nurbsCopyUVSet
- - nurbsCube
- - nurbsEditUV
- - nurbsPlane
- - nurbsSelect
- - nurbsSquare
- - nurbsToPolygonsPref
- - nurbsToPoly
- - nurbsToSubdiv
- - nurbsToSubdivPref
- - nurbsUVSet
- - nurbsViewDirectionVector
- - objectCenter
- - objectLayer
- - objectType
- - objectTypeUI
- - objExists
- - obsoleteProc
- - oceanNurbsPreviewPlane
- - offsetCurve
- - offsetCurveOnSurface
- - offsetSurface
- - openGLExtension
- - openMayaPref
- - optionMenuGrp
- - optionMenu
- - optionVar
- - orbitCtx
- - orbit
- - orientConstraint
- - outlinerEditor
- - outlinerPanel
- - overrideModifier
- - paintEffectsDisplay
- - pairBlend
- - palettePort
- - paneLayout
- - panelConfiguration
- - panelHistory
- - panel
- - paramDimContext
- - paramDimension
- - paramLocator
- - parentConstraint
- - parent
- - particleExists
- - particle
- - particleInstancer
- - particleRenderInfo
- - partition
- - pasteKey
- - pathAnimation
- - pause
- - pclose
- - percent
- - performanceOptions
- - pfxstrokes
- - pickWalk
- - picture
- - pixelMove
- - planarSrf
- - plane
- - playbackOptions
- - playblast
- - play
- - plugAttr
- - pluginInfo
- - pluginResourceUtil
- - plugNode
- - pointConstraint
- - pointCurveConstraint
- - pointLight
- - pointMatrixMult
- - pointOnCurve
- - pointOnSurface
- - pointPosition
- - poleVectorConstraint
- - polyAppendFacetCtx
- - polyAppend
- - polyAppendVertex
- - polyAutoProjection
- - polyAverageNormal
- - polyAverageVertex
- - polyBevel
- - polyBlendColor
- - polyBlindData
- - polyBoolOp
- - polyBridgeEdge
- - polyCacheMonitor
- - polyCheck
- - polyChipOff
- - polyClipboard
- - polyCloseBorder
- - polyCollapseEdge
- - polyCollapseFacet
- - polyColorBlindData
- - polyColorDel
- - polyColorPerVertex
- - polyColorSet
- - polyCompare
- - polyCone
- - polyCopyUV
- - polyCreaseCtx
- - polyCrease
- - polyCreateFacetCtx
- - polyCreateFacet
- - polyCube
- - polyCutCtx
- - polyCut
- - polyCylinder
- - polyCylindricalProjection
- - polyDelEdge
- - polyDelFacet
- - polyDelVertex
- - polyDuplicateAndConnect
- - polyDuplicateEdge
- - polyEditUV
- - polyEditUVShell
- - polyEvaluate
- - polyExtrudeEdge
- - polyExtrudeFacet
- - polyExtrudeVertex
- - polyFlipEdge
- - polyFlipUV
- - polyForceUV
- - polyGeoSampler
- - polyHelix
- - polyInfo
- - polyInstallAction
- - polyLayoutUV
- - polyListComponentConversion
- - polyMapCut
- - polyMapDel
- - polyMapSew
- - polyMapSewMove
- - polyMergeEdgeCtx
- - polyMergeEdge
- - polyMergeFacetCtx
- - polyMergeFacet
- - polyMergeUV
- - polyMergeVertex
- - polyMirrorFace
- - polyMoveEdge
- - polyMoveFacet
- - polyMoveFacetUV
- - polyMoveUV
- - polyMoveVertex
- - polyNormal
- - polyNormalizeUV
- - polyNormalPerVertex
- - polyOptions
- - polyOptUvs
- - polyOutput
- - polyPipe
- - polyPlanarProjection
- - polyPlane
- - polyPlatonicSolid
- - polyPoke
- - polyPrimitive
- - polyPrism
- - polyProjection
- - polyPyramid
- - polyQuad
- - polyQueryBlindData
- - polyReduce
- - polySelectConstraint
- - polySelectConstraintMonitor
- - polySelectCtx
- - polySelectEditCtx
- - polySelect
- - polySeparate
- - polySetToFaceNormal
- - polySewEdge
- - polyShortestPathCtx
- - polySlideEdge
- - polySmooth
- - polySoftEdge
- - polySphere
- - polySphericalProjection
- - polySplitCtx
- - polySplitEdge
- - polySplit
- - polySplitRing
- - polySplitVertex
- - polyStraightenUVBorder
- - polySubdivideEdge
- - polySubdivideFacet
- - polyTorus
- - polyToSubdiv
- - polyTransfer
- - polyTriangulate
- - polyUnite
- - polyUVSet
- - polyWedgeFace
- - popen
- - popupMenu
- - pose
- - pow
- - preloadRefEd
- - print
- - progressBar
- - progressWindow
- - projectCurve
- - projectionContext
- - projectionManip
- - projectTangent
- - projFileViewer
- - promptDialog
- - propModCtx
- - propMove
- - psdChannelOutliner
- - psdEditTextureFile
- - psdExport
- - psdTextureFile
- - putenv
- - pwd
- - python
- - querySubdiv
- - quit
- - radial
- - radioButtonGrp
- - radioButton
- - radioCollection
- - radioMenuItemCollection
- - rad_to_deg
- - rampColorPort
- - rand
- - randomizeFollicles
- - randstate
- - rangeControl
- - readTake
- - rebuildCurve
- - rebuildSurface
- - recordAttr
- - recordDevice
- - redo
- - referenceEdit
- - reference
- - referenceQuery
- - refineSubdivSelectionList
- - refreshAE
- - refresh
- - registerPluginResource
- - rehash
- - reloadImage
- - removeJoint
- - removeMultiInstance
- - removePanelCategory
- - renameAttr
- - rename
- - renameSelectionList
- - renameUI
- - renderer
- - renderGlobalsNode
- - render
- - renderInfo
- - renderLayerButton
- - renderLayerParent
- - renderLayerPostProcess
- - renderLayerUnparent
- - renderManip
- - renderPartition
- - renderQualityNode
- - renderSettings
- - renderThumbnailUpdate
- - renderWindowEditor
- - renderWindowSelectContext
- - reorderDeformers
- - reorder
- - requires
- - reroot
- - resampleFluid
- - resetAE
- - resetPfxToPolyCamera
- - resetTool
- - resolutionNode
- - retarget
- - reverseCurve
- - reverseSurface
- - revolve
- - rgb_to_hsv
- - rigidBody
- - rigidSolver
- - rollCtx
- - roll
- - rootOf
- - rotate
- - rotationInterpolation
- - rot
- - roundConstantRadius
- - rowColumnLayout
- - rowLayout
- - runTimeCommand
- - runup
- - sampleImage
- - saveAllShelves
- - saveAttrPreset
- - saveFluid
- - saveImage
- - saveInitialState
- - saveMenu
- - savePrefObjects
- - savePrefs
- - saveShelf
- - saveToolSettings
- - scaleBrushBrightness
- - scaleComponents
- - scaleConstraint
- - scale
- - scaleKeyCtx
- - scaleKey
- - sceneEditor
- - sceneUIReplacement
- - scmh
- - scriptCtx
- - scriptEditorInfo
- - scriptedPanel
- - scriptedPanelType
- - scriptJob
- - scriptNode
- - scriptTable
- - scriptToShelf
- - scrollField
- - scrollLayout
- - sculpt
- - searchPathArray
- - seed
- - selectContext
- - selectCurveCV
- - selectedNodes
- - select
- - selectionConnection
- - selectKeyCtx
- - selectKeyframeRegionCtx
- - selectKey
- - selectMode
- - selectPref
- - selectPriority
- - selectType
- - selLoadSettings
- - separator
- - setAttrEnumResource
- - setAttr
- - setAttrMapping
- - setAttrNiceNameResource
- - setConstraintRestPosition
- - setCustomAttrEnumResource
- - setCustomAttrNiceNameResource
- - setDefaultShadingGroup
- - setDrivenKeyframe
- - setDynamic
- - setEditCtx
- - setEditor
- - setFluidAttr
- - setFocus
- - setInfinity
- - setInputDeviceMapping
- - setKeyCtx
- - setKeyframeBlendshapeTargetWts
- - setKeyframe
- - setKeyPath
- - setMenuMode
- - setNodeNiceNameResource
- - setNodeTypeFlag
- - setParent
- - setParticleAttr
- - setPfxToPolyCamera
- - setPluginResource
- - setProject
- - sets
- - setStampDensity
- - setStartupMessage
- - setState
- - setToolTo
- - setUITemplate
- - setXformManip
- - shadingConnection
- - shadingGeometryRelCtx
- - shadingLightRelCtx
- - shadingNetworkCompare
- - shadingNode
- - shapeCompare
- - shelfButton
- - shelfLayout
- - shelfTabLayout
- - shellField
- - shortNameOf
- - showHelp
- - showHidden
- - show
- - showManipCtx
- - showSelectionInTitle
- - showShadingGroupAttrEditor
- - showWindow
- - sign
- - simplify
- - singleProfileBirailSurface
- - sin
- - sizeBytes
- - size
- - skinCluster
- - skinPercent
- - smoothCurve
- - smoothstep
- - smoothTangentSurface
- - snap2to2
- - snapKey
- - snapMode
- - snapshot
- - snapTogetherCtx
- - soft
- - softModCtx
- - softMod
- - sort
- - soundControl
- - sound
- - source
- - spaceLocator
- - sphere
- - sphrand
- - spotLight
- - spotLightPreviewPort
- - spreadSheetEditor
- - spring
- - sqrt
- - squareSurface
- - srtContext
- - stackTrace
- - startString
- - startsWith
- - stitchAndExplodeShell
- - stitchSurface
- - stitchSurfacePoints
- - strcmp
- - stringArrayCatenate
- - stringArrayContains
- - stringArrayCount
- - stringArrayInsertAtIndex
- - stringArrayIntersector
- - stringArrayRemoveAtIndex
- - stringArrayRemoveDuplicates
- - stringArrayRemoveExact
- - stringArrayRemove
- - stringArrayToString
- - stringToStringArray
- - strip
- - stripPrefixFromName
- - stroke
- - subdAutoProjection
- - subdCleanTopology
- - subdCollapse
- - subdDuplicateAndConnect
- - subdEditUV
- - subdivCrease
- - subdivDisplaySmoothness
- - subdiv
- - subdListComponentConversion
- - subdMapCut
- - subdMapSewMove
- - subdMatchTopology
- - subdMirror
- - subdToBlind
- - subdToPoly
- - subdTransferUVsToCache
- - substituteAllString
- - substituteGeometry
- - substitute
- - substring
- - surface
- - surfaceSampler
- - surfaceShaderList
- - swatchDisplayPort
- - switchTable
- - SymbolsButton
- - SymbolsCheckBox
- - symmetricModelling
- - sysFile
- - system
- - tabLayout
- - tangentConstraint
- - tan
- - texLatticeDeformContext
- - texManipContext
- - texMoveContext
- - texMoveUVShellContext
- - texRotateContext
- - texScaleContext
- - texSelectContext
- - texSelectShortestPathCtx
- - texSmudgeUVContext
- - textCurves
- - textFieldButtonGrp
- - textFieldGrp
- - textField
- - text
- - textManip
- - textScrollList
- - textToShelf
- - textureDisplacePlane
- - textureHairColor
- - texturePlacementContext
- - textureWindow
- - texWinToolCtx
- - threadCount
- - threePointArcCtx
- - timeControl
- - timePort
- - timerX
- - toggleAxis
- - toggle
- - toggleWindowVisibility
- - tokenize
- - tokenizeList
- - tolerance
- - tolower
- - toNativePath
- - toolButton
- - toolCollection
- - toolDropped
- - toolHasOptions
- - toolPropertyWindow
- - torus
- - toupper
- - trace
- - trackCtx
- - track
- - transferAttributes
- - transformCompare
- - transformLimits
- - translator
- - trim
- - truncateFluidCache
- - truncateHairCache
- - trunc
- - tumbleCtx
- - tumble
- - turbulence
- - twoPointArcCtx
- - uiRes
- - uiTemplate
- - unassignInputDevice
- - undo
- - undoInfo
- - ungroup
- - uniform
- - unit
- - unloadPlugin
- - untangleUV
- - untitledFileName
- - untrim
- - upAxis
- - updateAE
- - userCtx
- - uvLink
- - uvSnapshot
- - validateShelfName
- - vectorize
- - view2dToolCtx
- - viewCamera
- - viewClipPlane
- - viewFit
- - viewHeadOn
- - viewLookAt
- - viewManip
- - viewPlace
- - viewSet
- - visor
- - volumeAxis
- - vortex
- - waitCursor
- - warning
- - webBrowser
- - webBrowserPrefs
- - whatIs
- - window
- - windowPref
- - wireContext
- - wire
- - workspace
- - wrinkleContext
- - wrinkle
- - writeTake
- - xbmLangPathList
- - xform
- - xpmPicker
+ - about
+ - abs
+ - addAttr
+ - addAttributeEditorNodeHelp
+ - addDynamic
+ - addNewShelfTab
+ - addPanelCategory
+ - addPP
+ - addPrefixToName
+ - advanceToNextDrivenKey
+ - affectedNet
+ - affects
+ - aimConstraint
+ - air
+ - aliasAttr
+ - alias
+ - alignCtx
+ - alignCurve
+ - align
+ - alignSurface
+ - allViewFit
+ - ambientLight
+ - angleBetween
+ - angle
+ - animCurveEditor
+ - animDisplay
+ - animView
+ - annotate
+ - appendStringArray
+ - applicationName
+ - applyAttrPreset
+ - applyTake
+ - arcLenDimContext
+ - arcLengthDimension
+ - arclen
+ - arrayMapper
+ - art3dPaintCtx
+ - artAttrCtx
+ - artAttrPaintVertexCtx
+ - artAttrSkinPaintCtx
+ - artAttrTool
+ - artBuildPaintMenu
+ - artFluidAttrCtx
+ - artPuttyCtx
+ - artSelectCtx
+ - artSetPaintCtx
+ - artUserPaintCtx
+ - assignCommand
+ - assignInputDevice
+ - assignViewportFactories
+ - attachCurve
+ - attachDeviceAttr
+ - attachSurface
+ - attrColorSliderGrp
+ - attrCompatibility
+ - attrControlGrp
+ - attrEnumOptionMenuGrp
+ - attrEnumOptionMenu
+ - attrFieldGrp
+ - attrFieldSliderGrp
+ - attributeExists
+ - attributeInfo
+ - attributeMenu
+ - attributeQuery
+ - attrNavigationControlGrp
+ - attrPresetEditWin
+ - autoKeyframe
+ - autoPlace
+ - bakeClip
+ - bakeFluidShading
+ - bakePartialHistory
+ - bakeResults
+ - bakeSimulation
+ - basenameEx
+ - basename
+ - batchRender
+ - bessel
+ - bevel
+ - bevelPlus
+ - bindSkin
+ - binMembership
+ - blank
+ - blend2
+ - blendShapeEditor
+ - blendShape
+ - blendShapePanel
+ - blendTwoAttr
+ - blindDataType
+ - boneLattice
+ - boundary
+ - boxDollyCtx
+ - boxZoomCtx
+ - bufferCurve
+ - buildBookmarkMenu
+ - buildKeyframeMenu
+ - button
+ - buttonManip
+ - cacheFileCombine
+ - cacheFile
+ - cacheFileMerge
+ - cacheFileTrack
+ - camera
+ - cameraView
+ - canCreateManip
+ - canvas
+ - capitalizeString
+ - cat_Animation
+ - catch
+ - catchQuiet
+ - cat_Effects
+ - cat_General
+ - cat_Language
+ - cat_Modeling
+ - cat_Rendering
+ - cat_System
+ - cat_Windows
+ - CBG
+ - ceil
+ - changeSubdivComponentDisplayLevel
+ - changeSubdivRegion
+ - channelBox
+ - character
+ - characterize
+ - characterMap
+ - characterOutlineEditor
+ - chdir
+ - checkBoxGrp
+ - checkBox
+ - checkDefaultRenderGlobals
+ - choice
+ - circle
+ - circularFillet
+ - clamp
+ - clearCache
+ - clear
+ - clipEditorCurrentTimeCtx
+ - clipEditor
+ - clip
+ - clipSchedule
+ - clipSchedulerOutliner
+ - clipTrimBefore
+ - closeCurve
+ - closeSurface
+ - cluster
+ - cmdFileOutput
+ - cmdScrollFieldExecuter
+ - cmdScrollFieldReporter
+ - cmdShell
+ - coarsenSubdivSelectionList
+ - collision
+ - colorAtPoint
+ - colorEditor
+ - color
+ - colorIndex
+ - colorIndexSliderGrp
+ - colorSliderButtonGrp
+ - colorSliderGrp
+ - columnLayout
+ - commandEcho
+ - commandLine
+ - commandPort
+ - compactHairSystem
+ - componentEditor
+ - compositingInterop
+ - computePolysetVolume
+ - condition
+ - cone
+ - confirmDialog
+ - connectAttr
+ - connectControl
+ - connectDynamic
+ - connectionInfo
+ - connectJoint
+ - constrain
+ - constrainValue
+ - constructionHistory
+ - container
+ - containsMultibyte
+ - contextInfo
+ - control
+ - convertFromOldLayers
+ - convertIffToPsd
+ - convertLightmap
+ - convertSolidTx
+ - convertTessellation
+ - convertUnit
+ - copyArray
+ - copyFlexor
+ - copyKey
+ - copySkinWeights
+ - cos
+ - cpButton
+ - cpCache
+ - cpClothSet
+ - cpCollision
+ - cpConstraint
+ - cpConvClothToMesh
+ - cpForces
+ - cpGetSolverAttr
+ - cpPanel
+ - cpProperty
+ - cpRigidCollisionFilter
+ - cpSeam
+ - cpSetEdit
+ - cpSetSolverAttr
+ - cpSolver
+ - cpSolverTypes
+ - cpTool
+ - cpUpdateClothUVs
+ - createDisplayLayer
+ - createDrawCtx
+ - createEditor
+ - createLayeredPsdFile
+ - createMotionField
+ - createNewShelf
+ - createNode
+ - createRenderLayer
+ - createSubdivRegion
+ - cross
+ - crossProduct
+ - ctxAbort
+ - ctxCompletion
+ - ctxEditMode
+ - ctxTraverse
+ - currentCtx
+ - currentTimeCtx
+ - currentTime
+ - currentUnit
+ - curveAddPtCtx
+ - curveCVCtx
+ - curveEditorCtx
+ - curveEPCtx
+ - curve
+ - curveIntersect
+ - curveMoveEPCtx
+ - curveOnSurface
+ - curveSketchCtx
+ - cutKey
+ - cycleCheck
+ - cylinder
+ - dagPose
+ - date
+ - defaultLightListCheckBox
+ - defaultNavigation
+ - defineDataServer
+ - defineVirtualDevice
+ - deformer
+ - deg_to_rad
+ - deleteAttr
+ - delete
+ - deleteShadingGroupsAndMaterials
+ - deleteShelfTab
+ - deleteUI
+ - deleteUnusedBrushes
+ - delrandstr
+ - detachCurve
+ - detachDeviceAttr
+ - detachSurface
+ - deviceEditor
+ - devicePanel
+ - dgdirty
+ - dgeval
+ - dgInfo
+ - dgtimer
+ - dimWhen
+ - directionalLight
+ - directKeyCtx
+ - dirmap
+ - dirname
+ - disable
+ - disconnectAttr
+ - disconnectJoint
+ - diskCache
+ - displacementToPoly
+ - displayAffected
+ - displayColor
+ - displayCull
+ - displayLevelOfDetail
+ - displayPref
+ - displayRGBColor
+ - displaySmoothness
+ - displayStats
+ - displayString
+ - displaySurface
+ - distanceDimContext
+ - distanceDimension
+ - doBlur
+ - dollyCtx
+ - dolly
+ - dopeSheetEditor
+ - dot
+ - dotProduct
+ - doubleProfileBirailSurface
+ - dragAttrContext
+ - draggerContext
+ - drag
+ - dropoffLocator
+ - duplicateCurve
+ - duplicate
+ - duplicateSurface
+ - dynamicLoad
+ - dynCache
+ - dynControl
+ - dynExport
+ - dynExpression
+ - dynGlobals
+ - dynPaintEditor
+ - dynParticleCtx
+ - dynPref
+ - dynRelEditor
+ - dynRelEdPanel
+ - editAttrLimits
+ - editDisplayLayerGlobals
+ - editDisplayLayerMembers
+ - editor
+ - editorTemplate
+ - editRenderLayerAdjustment
+ - editRenderLayerGlobals
+ - editRenderLayerMembers
+ - effector
+ - emit
+ - emitter
+ - enableDevice
+ - encodeString
+ - endString
+ - endsWith
+ - env
+ - equivalent
+ - equivalentTol
+ - erf
+ - error
+ - evalDeferred
+ - evalEcho
+ - eval
+ - event
+ - exactWorldBoundingBox
+ - exclusiveLightCheckBox
+ - exec
+ - executeForEachObject
+ - exists
+ - exp
+ - expressionEditorListen
+ - expression
+ - extendCurve
+ - extendSurface
+ - extrude
+ - fcheck
+ - fclose
+ - feof
+ - fflush
+ - fgetline
+ - fgetword
+ - fileBrowserDialog
+ - fileDialog
+ - fileExtension
+ - file
+ - fileInfo
+ - filetest
+ - filletCurve
+ - filterCurve
+ - filterExpand
+ - filter
+ - filterStudioImport
+ - findAllIntersections
+ - findAnimCurves
+ - finder
+ - findKeyframe
+ - findMenuItem
+ - findRelatedSkinCluster
+ - firstParentOf
+ - fitBspline
+ - flexor
+ - floatEq
+ - floatFieldGrp
+ - floatField
+ - floatScrollBar
+ - floatSlider2
+ - floatSliderButtonGrp
+ - floatSliderGrp
+ - floatSlider
+ - floor
+ - flow
+ - fluidCacheInfo
+ - fluidEmitter
+ - fluidVoxelInfo
+ - flushUndo
+ - fmod
+ - fontDialog
+ - fopen
+ - format
+ - formLayout
+ - fprint
+ - frameLayout
+ - frame_search
+ - fread
+ - freeFormFillet
+ - frewind
+ - fromNativePath
+ - fwrite
+ - gamma
+ - gauss
+ - geometryConstraint
+ - getApplicationVersionAsFloat
+ - getAttr
+ - getClassification
+ - getDefaultBrush
+ - getenv
+ - getFileList
+ - getFluidAttr
+ - getInputDeviceRange
+ - getMayaPanelTypes
+ - getModifiers
+ - getPanel
+ - getParticleAttr
+ - getpid
+ - getPluginResource
+ - globalStitch
+ - glRenderEditor
+ - glRender
+ - gmatch
+ - goal
+ - gotoBindPose
+ - grabColor
+ - gradientControl
+ - gradientControlNoAttr
+ - graphDollyCtx
+ - graphSelectContext
+ - graphTrackCtx
+ - gravity
+ - grid
+ - gridLayout
+ - group
+ - groupObjectsByName
+ - hardenPointCurve
+ - hardware
+ - hardwareRenderPanel
+ - headsUpDisplay
+ - headsUpMessage
+ - help
+ - helpLine
+ - hermite
+ - HfAddAttractorToAS
+ - HfAssignAS
+ - HfBuildEqualMap
+ - HfBuildFurFiles
+ - HfBuildFurImages
+ - HfCancelAFR
+ - HfConnectASToHF
+ - HfCreateAttractor
+ - HfDeleteAS
+ - HfEditAS
+ - HfPerformCreateAS
+ - HfRemoveAttractorFromAS
+ - HfSelectAttached
+ - HfSelectAttractors
+ - HfUnassignAS
+ - hide
+ - hilite
+ - hitTest
+ - hotBox
+ - hotkeyCheck
+ - hotkey
+ - hsv_to_rgb
+ - hudButton
+ - hudSliderButton
+ - hudSlider
+ - hwReflectionMap
+ - hwRender
+ - hwRenderLoad
+ - hyperGraph
+ - hyperPanel
+ - hyperShade
+ - hypot
+ - iconTextButton
+ - iconTextCheckBox
+ - iconTextRadioButton
+ - iconTextRadioCollection
+ - iconTextScrollList
+ - iconTextStaticLabel
+ - ikfkDisplayMethod
+ - ikHandleCtx
+ - ikHandleDisplayScale
+ - ikHandle
+ - ikSolver
+ - ikSplineHandleCtx
+ - ikSystem
+ - ikSystemInfo
+ - illustratorCurves
+ - image
+ - imfPlugins
+ - index_all
+ - index
+ - index_overview
+ - index_substring
+ - inheritTransform
+ - insertJointCtx
+ - insertJoint
+ - insertKeyCtx
+ - insertKnotCurve
+ - insertKnotSurface
+ - instanceable
+ - instance
+ - instancer
+ - internalVar
+ - intersect
+ - interToUI
+ - intFieldGrp
+ - intField
+ - intScrollBar
+ - intSliderGrp
+ - intSlider
+ - iprEngine
+ - isAnimCurve
+ - isConnected
+ - isDirty
+ - isolateSelect
+ - isParentOf
+ - isSameObject
+ - isTrue
+ - isValidObjectName
+ - isValidString
+ - isValidUiName
+ - itemFilterAttr
+ - itemFilter
+ - itemFilterRender
+ - itemFilterType
+ - jointCluster
+ - jointCtx
+ - jointDisplayScale
+ - joint
+ - jointLattice
+ - keyframe
+ - keyframeOutliner
+ - keyframeRegionCurrentTimeCtx
+ - keyframeRegionDirectKeyCtx
+ - keyframeRegionDollyCtx
+ - keyframeRegionInsertKeyCtx
+ - keyframeRegionMoveKeyCtx
+ - keyframeRegionScaleKeyCtx
+ - keyframeRegionSelectKeyCtx
+ - keyframeRegionSetKeyCtx
+ - keyframeRegionTrackCtx
+ - keyframeStats
+ - keyTangent
+ - lassoContext
+ - latticeDeformKeyCtx
+ - lattice
+ - launch
+ - launchImageEditor
+ - layerButton
+ - layeredShaderPort
+ - layeredTexturePort
+ - layoutDialog
+ - layout
+ - lightlink
+ - lightListEditor
+ - lightList
+ - lightListPanel
+ - linearPrecision
+ - lineIntersection
+ - linstep
+ - listAnimatable
+ - listAttr
+ - listCameras
+ - listConnections
+ - listDeviceAttachments
+ - listerEditor
+ - listHistory
+ - listInputDeviceAxes
+ - listInputDeviceButtons
+ - listInputDevices
+ - listMenuAnnotation
+ - listNodeTypes
+ - listPanelCategories
+ - listRelatives
+ - listSets
+ - listTransforms
+ - listUnselected
+ - loadFluid
+ - loadNewShelf
+ - loadPlugin
+ - loadPluginLanguageResources
+ - loadPrefObjects
+ - localizedPanelLabel
+ - lockNode
+ - loft
+ - log
+ - longNameOf
+ - lookThru
+ - ls
+ - lsThroughFilter
+ - lsType
+ - lsUI
+ - mag
+ - makebot
+ - makeIdentity
+ - makeLive
+ - makePaintable
+ - makeRoll
+ - makeSingleSurface
+ - makeTubeOn
+ - manipMoveContext
+ - manipMoveLimitsCtx
+ - manipOptions
+ - manipRotateContext
+ - manipRotateLimitsCtx
+ - manipScaleContext
+ - manipScaleLimitsCtx
+ - marker
+ - match
+ - max
+ - Mayatomr
+ - memory
+ - menuBarLayout
+ - menuEditor
+ - menu
+ - menuItem
+ - menuItemToShelf
+ - menuSet
+ - menuSetPref
+ - messageLine
+ - min
+ - minimizeApp
+ - mirrorJoint
+ - modelCurrentTimeCtx
+ - modelEditor
+ - modelPanel
+ - mouse
+ - move
+ - moveIKtoFK
+ - moveKeyCtx
+ - moveVertexAlongDirection
+ - movIn
+ - movOut
+ - multiProfileBirailSurface
+ - mute
+ - nameCommand
+ - nameField
+ - namespace
+ - namespaceInfo
+ - nav_Animation
+ - nav_Effects
+ - nav_General
+ - nav_Language
+ - nav_Modeling
+ - nav_Rendering
+ - nav_System
+ - nav_Windows
+ - newPanelItems
+ - newton
+ - nodeCast
+ - nodeIconButton
+ - nodeOutliner
+ - nodePreset
+ - nodeType
+ - noise
+ - nonLinear
+ - normalConstraint
+ - normalize
+ - nParticle
+ - nurbsBoolean
+ - nurbsCopyUVSet
+ - nurbsCube
+ - nurbsEditUV
+ - nurbsPlane
+ - nurbsSelect
+ - nurbsSquare
+ - nurbsToPolygonsPref
+ - nurbsToPoly
+ - nurbsToSubdiv
+ - nurbsToSubdivPref
+ - nurbsUVSet
+ - nurbsViewDirectionVector
+ - objectCenter
+ - objectLayer
+ - objectType
+ - objectTypeUI
+ - objExists
+ - obsoleteProc
+ - oceanNurbsPreviewPlane
+ - offsetCurve
+ - offsetCurveOnSurface
+ - offsetSurface
+ - openGLExtension
+ - openMayaPref
+ - optionMenuGrp
+ - optionMenu
+ - optionVar
+ - orbitCtx
+ - orbit
+ - orientConstraint
+ - outlinerEditor
+ - outlinerPanel
+ - overrideModifier
+ - paintEffectsDisplay
+ - pairBlend
+ - palettePort
+ - paneLayout
+ - panelConfiguration
+ - panelHistory
+ - panel
+ - paramDimContext
+ - paramDimension
+ - paramLocator
+ - parentConstraint
+ - parent
+ - particleExists
+ - particle
+ - particleInstancer
+ - particleRenderInfo
+ - partition
+ - pasteKey
+ - pathAnimation
+ - pause
+ - pclose
+ - percent
+ - performanceOptions
+ - pfxstrokes
+ - pickWalk
+ - picture
+ - pixelMove
+ - planarSrf
+ - plane
+ - playbackOptions
+ - playblast
+ - play
+ - plugAttr
+ - pluginInfo
+ - pluginResourceUtil
+ - plugNode
+ - pointConstraint
+ - pointCurveConstraint
+ - pointLight
+ - pointMatrixMult
+ - pointOnCurve
+ - pointOnSurface
+ - pointPosition
+ - poleVectorConstraint
+ - polyAppendFacetCtx
+ - polyAppend
+ - polyAppendVertex
+ - polyAutoProjection
+ - polyAverageNormal
+ - polyAverageVertex
+ - polyBevel
+ - polyBlendColor
+ - polyBlindData
+ - polyBoolOp
+ - polyBridgeEdge
+ - polyCacheMonitor
+ - polyCheck
+ - polyChipOff
+ - polyClipboard
+ - polyCloseBorder
+ - polyCollapseEdge
+ - polyCollapseFacet
+ - polyColorBlindData
+ - polyColorDel
+ - polyColorPerVertex
+ - polyColorSet
+ - polyCompare
+ - polyCone
+ - polyCopyUV
+ - polyCreaseCtx
+ - polyCrease
+ - polyCreateFacetCtx
+ - polyCreateFacet
+ - polyCube
+ - polyCutCtx
+ - polyCut
+ - polyCylinder
+ - polyCylindricalProjection
+ - polyDelEdge
+ - polyDelFacet
+ - polyDelVertex
+ - polyDuplicateAndConnect
+ - polyDuplicateEdge
+ - polyEditUV
+ - polyEditUVShell
+ - polyEvaluate
+ - polyExtrudeEdge
+ - polyExtrudeFacet
+ - polyExtrudeVertex
+ - polyFlipEdge
+ - polyFlipUV
+ - polyForceUV
+ - polyGeoSampler
+ - polyHelix
+ - polyInfo
+ - polyInstallAction
+ - polyLayoutUV
+ - polyListComponentConversion
+ - polyMapCut
+ - polyMapDel
+ - polyMapSew
+ - polyMapSewMove
+ - polyMergeEdgeCtx
+ - polyMergeEdge
+ - polyMergeFacetCtx
+ - polyMergeFacet
+ - polyMergeUV
+ - polyMergeVertex
+ - polyMirrorFace
+ - polyMoveEdge
+ - polyMoveFacet
+ - polyMoveFacetUV
+ - polyMoveUV
+ - polyMoveVertex
+ - polyNormal
+ - polyNormalizeUV
+ - polyNormalPerVertex
+ - polyOptions
+ - polyOptUvs
+ - polyOutput
+ - polyPipe
+ - polyPlanarProjection
+ - polyPlane
+ - polyPlatonicSolid
+ - polyPoke
+ - polyPrimitive
+ - polyPrism
+ - polyProjection
+ - polyPyramid
+ - polyQuad
+ - polyQueryBlindData
+ - polyReduce
+ - polySelectConstraint
+ - polySelectConstraintMonitor
+ - polySelectCtx
+ - polySelectEditCtx
+ - polySelect
+ - polySeparate
+ - polySetToFaceNormal
+ - polySewEdge
+ - polyShortestPathCtx
+ - polySlideEdge
+ - polySmooth
+ - polySoftEdge
+ - polySphere
+ - polySphericalProjection
+ - polySplitCtx
+ - polySplitEdge
+ - polySplit
+ - polySplitRing
+ - polySplitVertex
+ - polyStraightenUVBorder
+ - polySubdivideEdge
+ - polySubdivideFacet
+ - polyTorus
+ - polyToSubdiv
+ - polyTransfer
+ - polyTriangulate
+ - polyUnite
+ - polyUVSet
+ - polyWedgeFace
+ - popen
+ - popupMenu
+ - pose
+ - pow
+ - preloadRefEd
+ - print
+ - progressBar
+ - progressWindow
+ - projectCurve
+ - projectionContext
+ - projectionManip
+ - projectTangent
+ - projFileViewer
+ - promptDialog
+ - propModCtx
+ - propMove
+ - psdChannelOutliner
+ - psdEditTextureFile
+ - psdExport
+ - psdTextureFile
+ - putenv
+ - pwd
+ - python
+ - querySubdiv
+ - quit
+ - radial
+ - radioButtonGrp
+ - radioButton
+ - radioCollection
+ - radioMenuItemCollection
+ - rad_to_deg
+ - rampColorPort
+ - rand
+ - randomizeFollicles
+ - randstate
+ - rangeControl
+ - readTake
+ - rebuildCurve
+ - rebuildSurface
+ - recordAttr
+ - recordDevice
+ - redo
+ - referenceEdit
+ - reference
+ - referenceQuery
+ - refineSubdivSelectionList
+ - refreshAE
+ - refresh
+ - registerPluginResource
+ - rehash
+ - reloadImage
+ - removeJoint
+ - removeMultiInstance
+ - removePanelCategory
+ - renameAttr
+ - rename
+ - renameSelectionList
+ - renameUI
+ - renderer
+ - renderGlobalsNode
+ - render
+ - renderInfo
+ - renderLayerButton
+ - renderLayerParent
+ - renderLayerPostProcess
+ - renderLayerUnparent
+ - renderManip
+ - renderPartition
+ - renderQualityNode
+ - renderSettings
+ - renderThumbnailUpdate
+ - renderWindowEditor
+ - renderWindowSelectContext
+ - reorderDeformers
+ - reorder
+ - requires
+ - reroot
+ - resampleFluid
+ - resetAE
+ - resetPfxToPolyCamera
+ - resetTool
+ - resolutionNode
+ - retarget
+ - reverseCurve
+ - reverseSurface
+ - revolve
+ - rgb_to_hsv
+ - rigidBody
+ - rigidSolver
+ - rollCtx
+ - roll
+ - rootOf
+ - rotate
+ - rotationInterpolation
+ - rot
+ - roundConstantRadius
+ - rowColumnLayout
+ - rowLayout
+ - runTimeCommand
+ - runup
+ - sampleImage
+ - saveAllShelves
+ - saveAttrPreset
+ - saveFluid
+ - saveImage
+ - saveInitialState
+ - saveMenu
+ - savePrefObjects
+ - savePrefs
+ - saveShelf
+ - saveToolSettings
+ - scaleBrushBrightness
+ - scaleComponents
+ - scaleConstraint
+ - scale
+ - scaleKeyCtx
+ - scaleKey
+ - sceneEditor
+ - sceneUIReplacement
+ - scmh
+ - scriptCtx
+ - scriptEditorInfo
+ - scriptedPanel
+ - scriptedPanelType
+ - scriptJob
+ - scriptNode
+ - scriptTable
+ - scriptToShelf
+ - scrollField
+ - scrollLayout
+ - sculpt
+ - searchPathArray
+ - seed
+ - selectContext
+ - selectCurveCV
+ - selectedNodes
+ - select
+ - selectionConnection
+ - selectKeyCtx
+ - selectKeyframeRegionCtx
+ - selectKey
+ - selectMode
+ - selectPref
+ - selectPriority
+ - selectType
+ - selLoadSettings
+ - separator
+ - setAttrEnumResource
+ - setAttr
+ - setAttrMapping
+ - setAttrNiceNameResource
+ - setConstraintRestPosition
+ - setCustomAttrEnumResource
+ - setCustomAttrNiceNameResource
+ - setDefaultShadingGroup
+ - setDrivenKeyframe
+ - setDynamic
+ - setEditCtx
+ - setEditor
+ - setFluidAttr
+ - setFocus
+ - setInfinity
+ - setInputDeviceMapping
+ - setKeyCtx
+ - setKeyframeBlendshapeTargetWts
+ - setKeyframe
+ - setKeyPath
+ - setMenuMode
+ - setNodeNiceNameResource
+ - setNodeTypeFlag
+ - setParent
+ - setParticleAttr
+ - setPfxToPolyCamera
+ - setPluginResource
+ - setProject
+ - sets
+ - setStampDensity
+ - setStartupMessage
+ - setState
+ - setToolTo
+ - setUITemplate
+ - setXformManip
+ - shadingConnection
+ - shadingGeometryRelCtx
+ - shadingLightRelCtx
+ - shadingNetworkCompare
+ - shadingNode
+ - shapeCompare
+ - shelfButton
+ - shelfLayout
+ - shelfTabLayout
+ - shellField
+ - shortNameOf
+ - showHelp
+ - showHidden
+ - show
+ - showManipCtx
+ - showSelectionInTitle
+ - showShadingGroupAttrEditor
+ - showWindow
+ - sign
+ - simplify
+ - singleProfileBirailSurface
+ - sin
+ - sizeBytes
+ - size
+ - skinCluster
+ - skinPercent
+ - smoothCurve
+ - smoothstep
+ - smoothTangentSurface
+ - snap2to2
+ - snapKey
+ - snapMode
+ - snapshot
+ - snapTogetherCtx
+ - soft
+ - softModCtx
+ - softMod
+ - sort
+ - soundControl
+ - sound
+ - source
+ - spaceLocator
+ - sphere
+ - sphrand
+ - spotLight
+ - spotLightPreviewPort
+ - spreadSheetEditor
+ - spring
+ - sqrt
+ - squareSurface
+ - srtContext
+ - stackTrace
+ - startString
+ - startsWith
+ - stitchAndExplodeShell
+ - stitchSurface
+ - stitchSurfacePoints
+ - strcmp
+ - stringArrayCatenate
+ - stringArrayContains
+ - stringArrayCount
+ - stringArrayInsertAtIndex
+ - stringArrayIntersector
+ - stringArrayRemoveAtIndex
+ - stringArrayRemoveDuplicates
+ - stringArrayRemoveExact
+ - stringArrayRemove
+ - stringArrayToString
+ - stringToStringArray
+ - strip
+ - stripPrefixFromName
+ - stroke
+ - subdAutoProjection
+ - subdCleanTopology
+ - subdCollapse
+ - subdDuplicateAndConnect
+ - subdEditUV
+ - subdivCrease
+ - subdivDisplaySmoothness
+ - subdiv
+ - subdListComponentConversion
+ - subdMapCut
+ - subdMapSewMove
+ - subdMatchTopology
+ - subdMirror
+ - subdToBlind
+ - subdToPoly
+ - subdTransferUVsToCache
+ - substituteAllString
+ - substituteGeometry
+ - substitute
+ - substring
+ - surface
+ - surfaceSampler
+ - surfaceShaderList
+ - swatchDisplayPort
+ - switchTable
+ - SymbolsButton
+ - SymbolsCheckBox
+ - symmetricModelling
+ - sysFile
+ - system
+ - tabLayout
+ - tangentConstraint
+ - tan
+ - texLatticeDeformContext
+ - texManipContext
+ - texMoveContext
+ - texMoveUVShellContext
+ - texRotateContext
+ - texScaleContext
+ - texSelectContext
+ - texSelectShortestPathCtx
+ - texSmudgeUVContext
+ - textCurves
+ - textFieldButtonGrp
+ - textFieldGrp
+ - textField
+ - text
+ - textManip
+ - textScrollList
+ - textToShelf
+ - textureDisplacePlane
+ - textureHairColor
+ - texturePlacementContext
+ - textureWindow
+ - texWinToolCtx
+ - threadCount
+ - threePointArcCtx
+ - timeControl
+ - timePort
+ - timerX
+ - toggleAxis
+ - toggle
+ - toggleWindowVisibility
+ - tokenize
+ - tokenizeList
+ - tolerance
+ - tolower
+ - toNativePath
+ - toolButton
+ - toolCollection
+ - toolDropped
+ - toolHasOptions
+ - toolPropertyWindow
+ - torus
+ - toupper
+ - trace
+ - trackCtx
+ - track
+ - transferAttributes
+ - transformCompare
+ - transformLimits
+ - translator
+ - trim
+ - truncateFluidCache
+ - truncateHairCache
+ - trunc
+ - tumbleCtx
+ - tumble
+ - turbulence
+ - twoPointArcCtx
+ - uiRes
+ - uiTemplate
+ - unassignInputDevice
+ - undo
+ - undoInfo
+ - ungroup
+ - uniform
+ - unit
+ - unloadPlugin
+ - untangleUV
+ - untitledFileName
+ - untrim
+ - upAxis
+ - updateAE
+ - userCtx
+ - uvLink
+ - uvSnapshot
+ - validateShelfName
+ - vectorize
+ - view2dToolCtx
+ - viewCamera
+ - viewClipPlane
+ - viewFit
+ - viewHeadOn
+ - viewLookAt
+ - viewManip
+ - viewPlace
+ - viewSet
+ - visor
+ - volumeAxis
+ - vortex
+ - waitCursor
+ - warning
+ - webBrowser
+ - webBrowserPrefs
+ - whatIs
+ - window
+ - windowPref
+ - wireContext
+ - wire
+ - workspace
+ - wrinkleContext
+ - wrinkle
+ - writeTake
+ - xbmLangPathList
+ - xform
+ - xpmPicker
- - int
- - string
- - float
- - vector
- - matrix
+ - int
+ - string
+ - float
+ - vector
+ - matrix
@@ -1369,7 +1369,6 @@ with this program; if not, write to the Free Software Foundation, Inc.,
-
diff --git a/kate/data/mergetagtext.xml b/kate/data/mergetagtext.xml
index 0cd7d50da..efcc1f770 100644
--- a/kate/data/mergetagtext.xml
+++ b/kate/data/mergetagtext.xml
@@ -25,7 +25,7 @@
* Boston, MA 02110-1301, USA. *
**********************************************************************
-->
-
+
diff --git a/kate/data/meson.xml b/kate/data/meson.xml
index 8be09c56c..4ecf547fc 100644
--- a/kate/data/meson.xml
+++ b/kate/data/meson.xml
@@ -9,57 +9,57 @@
- - if
- - else
- - endif
- - foreach
- - endforeach
+ - if
+ - else
+ - endif
+ - foreach
+ - endforeach
- - add_global_arguments
- - build_target
- - configuration_data
- - configure_file
- - custom_target
- - declare_dependency
- - dependency
- - error
- - executable
- - find_program
- - find_library
- - files
- - generator
- - get_option
- - gettext
- - import
- - include_directories
- - install_data
- - install_headers
- - install_man
- - install_subdir
- - is_subproject
- - jar
- - message
- - pkgconfig_gen
- - project
- - run_command
- - run_target
- - set_variable
- - shared_library
- - static_library
- - subdir
- - subproject
- - test
- - vcs_tag
+ - add_global_arguments
+ - build_target
+ - configuration_data
+ - configure_file
+ - custom_target
+ - declare_dependency
+ - dependency
+ - error
+ - executable
+ - find_program
+ - find_library
+ - files
+ - generator
+ - get_option
+ - gettext
+ - import
+ - include_directories
+ - install_data
+ - install_headers
+ - install_man
+ - install_subdir
+ - is_subproject
+ - jar
+ - message
+ - pkgconfig_gen
+ - project
+ - run_command
+ - run_target
+ - set_variable
+ - shared_library
+ - static_library
+ - subdir
+ - subproject
+ - test
+ - vcs_tag
- - and
- - or
- - not
+ - and
+ - or
+ - not
- - true
- - false
+ - true
+ - false
diff --git a/kate/data/metafont.xml b/kate/data/metafont.xml
index 4ce1e1412..7cb1e8afa 100644
--- a/kate/data/metafont.xml
+++ b/kate/data/metafont.xml
@@ -6,7 +6,7 @@ We have moved some keywords for consistency
-->
-
@@ -240,6 +240,7 @@ author="Yedvilun (yedvilun@gmail.com)" license="LGPL">
+
@@ -548,27 +549,6 @@ author="Yedvilun (yedvilun@gmail.com)" license="LGPL">
- withcolor
-
-
- - autorounding
- - chardx
-
- - chardy
- - fillin
- - granularity
- - hppp
- - proofing
- - smoothing
-
- - tracingedges
- - tracingpens
- - turningcheck
- - vppp
- - xoffset
- - yoffset
-
-
-
- ahangle
@@ -826,8 +806,9 @@ author="Yedvilun (yedvilun@gmail.com)" license="LGPL">
-
-
+
+
@@ -853,7 +834,7 @@ author="Yedvilun (yedvilun@gmail.com)" license="LGPL">
-
+
@@ -931,9 +912,6 @@ author="Yedvilun (yedvilun@gmail.com)" license="LGPL">
-
-
-
diff --git a/kate/data/mips.xml b/kate/data/mips.xml
index 51b6fc9f9..6802706c4 100644
--- a/kate/data/mips.xml
+++ b/kate/data/mips.xml
@@ -1,310 +1,310 @@
-
+
- - .align
- - .ascii
- - .asciiz
- - .byte
- - .double
- - .extern
- - .float
- - .globl
- - .half
- - .sdata
- - .set
- - .space
- - .word
+ - .align
+ - .ascii
+ - .asciiz
+ - .byte
+ - .double
+ - .extern
+ - .float
+ - .globl
+ - .half
+ - .sdata
+ - .set
+ - .space
+ - .word
- - .data
- - .kdata
- - .ktext
- - .text
+ - .data
+ - .kdata
+ - .ktext
+ - .text
- - abs.d
- - abs.s
- - add
- - add.d
- - add.s
- - addi
- - addiu
- - addu
- - and
- - andi
- - bc0f
- - bc0t
- - bc1f
- - bc1t
- - bc2f
- - bc2t
- - bc3f
- - bc3t
- - beq
- - bgez
- - bgezal
- - bgtz
- - blez
- - bltz
- - bltzal
- - bne
- - break
- - c.eq.d
- - c.eq.s
- - c.seq.s
- - c.seq.d
- - c.ueq.s
- - c.ueq.d
- - c.olt.d
- - c.olt.s
- - c.ole.d
- - c.ole.s
- - c.ult.d
- - c.ult.s
- - c.ule.d
- - c.ule.s
- - c.le.d
- - c.le.s
- - c.lt.d
- - c.lt.s
- - c.un.s
- - c.un.d
- - cvt.d.s
- - cvt.d.w
- - cvt.s.d
- - cvt.s.w
- - cvt.w.d
- - cvt.w.s
- - div.d
- - div.s
- - j
- - jal
- - jalr
- - jr
- - lb
- - lbu
- - lh
- - lhu
- - lui
- - lw
- - lwc0
- - lwc1
- - lwc2
- - lwc3
- - lwl
- - lwr
- - mfc0
- - mfc1
- - mfc2
- - mfc3
- - mfhi
- - mflo
- - mtc0
- - mtc1
- - mtc2
- - mtc3
- - mthi
- - mtlo
- - mul.d
- - mul.s
- - mult
- - multu
- - nor
- - or
- - ori
- - rfe
- - sb
- - sh
- - sw
- - swcl
- - swl
- - swr
- - sll
- - sllv
- - slt
- - slti
- - sltiu
- - sra
- - srav
- - srl
- - srlv
- - sub
- - sub.d
- - sub.s
- - subu
- - sw
- - swc0
- - swc1
- - swc2
- - swc3
- - swl
- - swr
- - syscall
- - xor
- - xori
+ - abs.d
+ - abs.s
+ - add
+ - add.d
+ - add.s
+ - addi
+ - addiu
+ - addu
+ - and
+ - andi
+ - bc0f
+ - bc0t
+ - bc1f
+ - bc1t
+ - bc2f
+ - bc2t
+ - bc3f
+ - bc3t
+ - beq
+ - bgez
+ - bgezal
+ - bgtz
+ - blez
+ - bltz
+ - bltzal
+ - bne
+ - break
+ - c.eq.d
+ - c.eq.s
+ - c.seq.s
+ - c.seq.d
+ - c.ueq.s
+ - c.ueq.d
+ - c.olt.d
+ - c.olt.s
+ - c.ole.d
+ - c.ole.s
+ - c.ult.d
+ - c.ult.s
+ - c.ule.d
+ - c.ule.s
+ - c.le.d
+ - c.le.s
+ - c.lt.d
+ - c.lt.s
+ - c.un.s
+ - c.un.d
+ - cvt.d.s
+ - cvt.d.w
+ - cvt.s.d
+ - cvt.s.w
+ - cvt.w.d
+ - cvt.w.s
+ - div.d
+ - div.s
+ - j
+ - jal
+ - jalr
+ - jr
+ - lb
+ - lbu
+ - lh
+ - lhu
+ - lui
+ - lw
+ - lwc0
+ - lwc1
+ - lwc2
+ - lwc3
+ - lwl
+ - lwr
+ - mfc0
+ - mfc1
+ - mfc2
+ - mfc3
+ - mfhi
+ - mflo
+ - mtc0
+ - mtc1
+ - mtc2
+ - mtc3
+ - mthi
+ - mtlo
+ - mul.d
+ - mul.s
+ - mult
+ - multu
+ - nor
+ - or
+ - ori
+ - rfe
+ - sb
+ - sh
+ - sw
+ - swcl
+ - swl
+ - swr
+ - sll
+ - sllv
+ - slt
+ - slti
+ - sltiu
+ - sra
+ - srav
+ - srl
+ - srlv
+ - sub
+ - sub.d
+ - sub.s
+ - subu
+ - sw
+ - swc0
+ - swc1
+ - swc2
+ - swc3
+ - swl
+ - swr
+ - syscall
+ - xor
+ - xori
- - abs
- - b
- - beqz
- - bge
- - bgeu
- - bgt
- - bgtu
- - ble
- - bleu
- - blt
- - bltu
- - bnez
- - div
- - divu
- - l.d
- - l.s
- - la
- - ld
- - li
- - li.d
- - li.s
- - mfc0.d
- - mfc1.d
- - mfc2.d
- - mfc3.d
- - mov.d
- - mov.s
- - move
- - mul
- - mulo
- - mulou
- - neg
- - neg.d
- - neg.s
- - negu
- - nop
- - not
- - rem
- - remu
- - rol
- - ror
- - s.d
- - s.s
- - sd
- - seq
- - sge
- - sgeu
- - sgt
- - sgtu
- - sle
- - sleu
- - sne
- - ulh
- - ulhu
- - ulw
- - ush
- - usw
+ - abs
+ - b
+ - beqz
+ - bge
+ - bgeu
+ - bgt
+ - bgtu
+ - ble
+ - bleu
+ - blt
+ - bltu
+ - bnez
+ - div
+ - divu
+ - l.d
+ - l.s
+ - la
+ - ld
+ - li
+ - li.d
+ - li.s
+ - mfc0.d
+ - mfc1.d
+ - mfc2.d
+ - mfc3.d
+ - mov.d
+ - mov.s
+ - move
+ - mul
+ - mulo
+ - mulou
+ - neg
+ - neg.d
+ - neg.s
+ - negu
+ - nop
+ - not
+ - rem
+ - remu
+ - rol
+ - ror
+ - s.d
+ - s.s
+ - sd
+ - seq
+ - sge
+ - sgeu
+ - sgt
+ - sgtu
+ - sle
+ - sleu
+ - sne
+ - ulh
+ - ulhu
+ - ulw
+ - ush
+ - usw
- - $0
- - $1
- - $2
- - $3
- - $4
- - $5
- - $6
- - $7
- - $8
- - $9
- - $10
- - $11
- - $12
- - $13
- - $14
- - $15
- - $16
- - $17
- - $18
- - $19
- - $20
- - $21
- - $22
- - $23
- - $24
- - $25
- - $26
- - $27
- - $28
- - $29
- - $30
- - $31
- - $zero
- - $t0
- - $t1
- - $t2
- - $t3
- - $t4
- - $t5
- - $t6
- - $t7
- - $t8
- - $t9
+ - $0
+ - $1
+ - $2
+ - $3
+ - $4
+ - $5
+ - $6
+ - $7
+ - $8
+ - $9
+ - $10
+ - $11
+ - $12
+ - $13
+ - $14
+ - $15
+ - $16
+ - $17
+ - $18
+ - $19
+ - $20
+ - $21
+ - $22
+ - $23
+ - $24
+ - $25
+ - $26
+ - $27
+ - $28
+ - $29
+ - $30
+ - $31
+ - $zero
+ - $t0
+ - $t1
+ - $t2
+ - $t3
+ - $t4
+ - $t5
+ - $t6
+ - $t7
+ - $t8
+ - $t9
- - $v0
- - $v1
- - $a0
- - $a1
- - $a2
- - $a3
- - $k0
- - $k1
- - $at
- - $gp
- - $sp
- - $fp
- - $s0
- - $s1
- - $s2
- - $s3
- - $s4
- - $s5
- - $s6
- - $s7
- - $ra
+ - $v0
+ - $v1
+ - $a0
+ - $a1
+ - $a2
+ - $a3
+ - $k0
+ - $k1
+ - $at
+ - $gp
+ - $sp
+ - $fp
+ - $s0
+ - $s1
+ - $s2
+ - $s3
+ - $s4
+ - $s5
+ - $s6
+ - $s7
+ - $ra
- - $f0
- - $f1
- - $f2
- - $f3
- - $f4
- - $f5
- - $f6
- - $f7
- - $f8
- - $f9
- - $f10
- - $f11
- - $f12
- - $f13
- - $f14
- - $f15
- - $f16
- - $f17
- - $f18
- - $f19
- - $f20
- - $f21
- - $f22
- - $f23
- - $f24
- - $f25
- - $f26
- - $f27
- - $f28
- - $f29
- - $f30
- - $f31
+ - $f0
+ - $f1
+ - $f2
+ - $f3
+ - $f4
+ - $f5
+ - $f6
+ - $f7
+ - $f8
+ - $f9
+ - $f10
+ - $f11
+ - $f12
+ - $f13
+ - $f14
+ - $f15
+ - $f16
+ - $f17
+ - $f18
+ - $f19
+ - $f20
+ - $f21
+ - $f22
+ - $f23
+ - $f24
+ - $f25
+ - $f26
+ - $f27
+ - $f28
+ - $f29
+ - $f30
+ - $f31
diff --git a/kate/data/modula-2-iso-only.xml b/kate/data/modula-2-iso-only.xml
new file mode 100644
index 000000000..9da7ae31b
--- /dev/null
+++ b/kate/data/modula-2-iso-only.xml
@@ -0,0 +1,342 @@
+
+
+
+
+
+
+
+
+ - ???
+
+
+
+
+ - AND
+ - ARRAY
+ - BEGIN
+ - BY
+ - CASE
+ - CONST
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - EXCEPT
+ - EXPORT
+ - FINALLY
+ - FOR
+ - FORWARD
+ - FROM
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NOT
+ - OF
+ - OR
+ - PACKEDSET
+ - POINTER
+ - PROCEDURE
+ - QUALIFIED
+ - RECORD
+ - REM
+ - REPEAT
+ - RETRY
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WITH
+
+
+
+
+ - FALSE
+ - INTERRUPTIBLE
+ - NIL
+ - TRUE
+ - UNINTERRUPTIBLE
+
+
+
+
+
+ - BOOLEAN
+ - BITSET
+ - CARDINAL
+ - COMPLEX
+ - CHAR
+ - INTEGER
+ - LONGCOMPLEX
+ - LONGREAL
+ - PROC
+ - PROTECTION
+ - REAL
+
+
+
+
+
+ - ABS
+ - CAP
+ - CHR
+ - CMPLX
+ - DEC
+ - EXCL
+ - FLOAT
+ - HALT
+ - HIGH
+ - IM
+ - INC
+ - INCL
+ - INT
+ - LENGTH
+ - LFLOAT
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - RE
+ - SIZE
+ - TRUNC
+ - VAL
+
+
+
+
+
+ - NEW
+ - ALLOCATE
+
+
+ - DISPOSE
+ - DEALLOCATE
+
+
+
+
+
+ - SYSTEM
+
+
+ - BITSPERLOC
+ - BITSPERWORD
+
+
+ - ADDRESS
+ - LOC
+ - WORD
+
+
+ - ADDADR
+ - ADR
+ - CAST
+ - DIFADR
+ - MAKEADR
+ - ROTATE
+ - SHIFT
+ - SUBADR
+ - TSIZE
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/kate/data/modula-2-pim-only.xml b/kate/data/modula-2-pim-only.xml
new file mode 100644
index 000000000..9906339df
--- /dev/null
+++ b/kate/data/modula-2-pim-only.xml
@@ -0,0 +1,308 @@
+
+
+
+
+
+
+
+
+ - ???
+
+
+
+
+ - AND
+ - ARRAY
+ - BEGIN
+ - BY
+ - CASE
+ - CONST
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - EXPORT
+ - FOR
+ - FROM
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NOT
+ - OF
+ - OR
+ - POINTER
+ - PROCEDURE
+ - QUALIFIED
+ - RECORD
+ - REPEAT
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WITH
+
+
+
+
+ - FALSE
+ - NIL
+ - TRUE
+
+
+
+
+
+ - BOOLEAN
+ - BITSET
+ - CARDINAL
+ - CHAR
+ - INTEGER
+ - LONGINT
+ - LONGREAL
+ - PROC
+ - REAL
+
+
+
+
+
+ - ABS
+ - CAP
+ - CHR
+ - DEC
+ - EXCL
+ - FLOAT
+ - HALT
+ - HIGH
+ - INC
+ - INCL
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - SIZE
+ - TRUNC
+ - VAL
+
+
+
+
+
+ - NEW
+ - ALLOCATE
+
+
+ - DISPOSE
+ - DEALLOCATE
+
+
+
+
+
+ - SYSTEM
+
+
+ - ADDRESS
+ - PROCESS
+ - WORD
+
+
+ - ADR
+ - NEWPROCESS
+ - TRANSFER
+ - TSIZE
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/kate/data/modula-2-r10-only.xml b/kate/data/modula-2-r10-only.xml
new file mode 100644
index 000000000..de4fd1b1f
--- /dev/null
+++ b/kate/data/modula-2-r10-only.xml
@@ -0,0 +1,347 @@
+
+
+
+
+
+
+
+
+ - ???
+
+
+
+
+ - ALIAS
+ - AND
+ - ARGLIST
+ - ARRAY
+ - BEGIN
+ - BLUEPRINT
+ - BY
+ - CASE
+ - CONST
+ - COPY
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - FOR
+ - FROM
+ - GENLIB
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NEW
+ - NOT
+ - OF
+ - OPAQUE
+ - OR
+ - POINTER
+ - PROCEDURE
+ - READ
+ - RECORD
+ - REFERENTIAL
+ - RELEASE
+ - REPEAT
+ - RETAIN
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WRITE
+ - YIELD
+
+
+
+
+ - FALSE
+ - NIL
+ - TRUE
+
+
+
+
+
+ - BOOLEAN
+ - CARDINAL
+ - CHAR
+ - INTEGER
+ - LONGCARD
+ - LONGINT
+ - LONGREAL
+ - OCTET
+ - REAL
+ - UNICHAR
+
+
+
+
+
+ - ABS
+ - APPEND
+ - CAPACITY
+ - CHR
+ - COUNT
+ - ENTIER
+ - INSERT
+ - LENGTH
+ - LOG2
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - POW2
+ - PRED
+ - PTR
+ - REMOVE
+ - SGN
+ - SUCC
+
+
+
+
+ - TLIMIT
+ - TMAX
+ - TMIN
+ - TSIZE
+
+
+
+
+
+ - CAST
+
+
+ - UNSAFE
+
+
+ - BitsPerAddress
+ - BitsPerByte
+ - BytesPerWord
+ - BytesPerLongWord
+
+
+ - ADDRESS
+ - BYTE
+ - LONGWORD
+ - OCTETSEQ
+ - WORD
+
+
+ - ADD
+ - ADR
+ - BIT
+ - BWAND
+ - BWNOT
+ - BWOR
+ - HALT
+ - SETBIT
+ - SHL
+ - SHR
+ - SUB
+
+
+ - NOP
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/kate/data/modula-2.xml b/kate/data/modula-2.xml
index b6c65a274..da3676b59 100644
--- a/kate/data/modula-2.xml
+++ b/kate/data/modula-2.xml
@@ -1,188 +1,1191 @@
-
+
+
-
- - ASSEMBLER
- - ALLOCATE
- - DEALLOCATE
- - SIZE
- - Write
- - WriteString
- - WriteCard
- - WriteLn
- - WriteBf
- - WriteInt
- - WriteReal
- - WriteLongReal
- - Read
- - ReadString
- - ReadCard
- - ReadInt
- - ReadReal
- - ReadLongReal
- - Open
- - Close
- - OpenInput
- - OpenOutput
- - Accessible
- - Erase
- - EOF
- - Done
- - EmptyString
- - Assign
- - Append
- - Length
- - StrEq
- - Copy
- - Concat
- - pos
- - Delete
- - Insert
- - compare
- - CAPS
- - PutBf
- - GetArgs
- - GetEnv
- - ResetClock
- - UserTime
- - SystemTime
- - GetChar
- - GetInt
- - GetCard
- - GetString
- - GetReal
- - GetLongReal
- - PutChar
- - PutInt
- - PutCard
- - PutString
- - PutReal
- - PutLongReal
- - PutLn
-
-
- - AND
- - ARRAY
- - ASM
- - BEGIN
- - CASE
- - CONST
- - DIV
- - DO
- - ELSE
- - ELSIF
- - END
- - FOR
- - IF
- - IMPLEMENTATION
- - IN
- - SET
- - INCL
- - EXCL
- - ABS
- - BITSET
- - CAP
- - CHR
- - DEC
- - HALT
- - HIGH
- - INC
- - MAX
- - MIN
- - ODD
- - ORD
- - PROC
- - TRUNC
- - VAL
- - MOD
- - NIL
- - NOT
- - OF
- - OR
- - PROCEDURE
- - MODULE
- - DEFINITION
- - RECORD
- - REPEAT
- - THEN
- - TO
- - TYPE
- - UNTIL
- - LOOP
- - VAR
- - WHILE
- - WITH
- - EXIT
- - FALSE
- - TRUE
- - BY
- - FROM
- - IMPORT
- - EXPORT
- - QUALIFIED
- - RETURN
- - NEWPROCESS
- - TRANSFER
- - IOTRANSFER
- - FOREIGN
-
-
- - INTEGER
- - CARDINAL
- - SHORTINT
- - SHORTCARD
- - LONGINT
- - LONGREAL
- - CHAR
- - BOOLEAN
- - POINTER
- - ADDRESS
- - ADR
- - REAL
- - File
+
+
+
+ - ???
+
+
+
+
+
+ - AND
+ - ARRAY
+ - BEGIN
+ - BY
+ - CASE
+ - CONST
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - FOR
+ - FROM
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NOT
+ - OF
+ - OR
+ - POINTER
+ - PROCEDURE
+ - RECORD
+ - REPEAT
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+
+
+
+
+ - FALSE
+ - NIL
+ - TRUE
+
+
+
+
+ - BOOLEAN
+ - CARDINAL
+ - CHAR
+ - INTEGER
+ - LONGREAL
+ - REAL
+
+
+
+
+ - ABS
+ - CHR
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+
+
+
+
+
+ - ADDRESS
+ - WORD
+
+ - ADR
+
+
+
+
+
+
+
+
+ - InOut
+ - MathLib0
+
+
+
+
+
+
+ - EXCEPT
+ - FINALLY
+ - PACKEDSET
+ - REM
+ - RETRY
+
+
+
+
+ - INTERRUPTIBLE
+ - UNINTERRUPTIBLE
+
+
+
+
+
+ - PROTECTION
+
+
+
+
+ - CMPLX
+ - IM
+ - LFLOAT
+ - RE
+
+
+
+
+
+ - BITSPERLOC
+ - BITSPERWORD
+
+ - LOC
+
+ - ADDADR
+ - DIFADR
+ - MAKEADR
+ - ROTATE
+ - SHIFT
+ - SUBADR
+
+
+
+
+ - IOConsts
+ - IOLink
+ - IOResult
+ - LongIO
+ - WholeIO
+ - RawIO
+ - SIOResult
+ - SLongIO
+ - SWholeIO
+ - SRealIO
+ - SRawIO
+ - ConvTypes
+ - RealStr
+ - WholeStr
+ - WholeConv
+
+
+
+
+
+ - ALIAS
+ - ARGLIST
+ - BLUEPRINT
+ - COPY
+ - GENLIB
+ - OPAQUE
+ - READ
+ - REFERENTIAL
+ - RELEASE
+ - RETAIN
+ - WRITE
+ - YIELD
+
+
+
+
+
+ - OCTET
+ - UNICHAR
+
+
+
+
+ - APPEND
+ - CAPACITY
+ - COUNT
+ - INSERT
+ - LOG2
+ - POW2
+ - PRED
+ - PTR
+ - REMOVE
+ - SGN
+ - SUCC
+
+
+
+
+ - TLIMIT
+ - TMAX
+ - TMIN
+
+
+
+
+
+ - UNSAFE
+
+ - LONGWORD
+ - OCTETSEQ
+
+ - BIT
+ - BWAND
+ - BWNOT
+ - BWOR
+ - SETBIT
+ - SHL
+ - SHR
+
+
+
+
+ - PervasiveIO
+ - UnsafeIO
+
+
+
+
+
+ - AND
+ - ARRAY
+ - BEGIN
+ - BY
+ - CASE
+ - CONST
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - EXPORT
+ - FOR
+ - FROM
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NOT
+ - OF
+ - OR
+ - POINTER
+ - PROCEDURE
+ - QUALIFIED
+ - RECORD
+ - REPEAT
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WITH
+
+
+
+
+ - FALSE
+ - NIL
+ - TRUE
+
+
+
+
+ - BOOLEAN
+ - BITSET
+ - CARDINAL
+ - CHAR
+ - INTEGER
+ - LONGINT
+ - LONGREAL
+ - PROC
+ - REAL
+
+
+
+
+ - ABS
+ - CAP
+ - CHR
+ - DEC
+ - EXCL
+ - FLOAT
+ - HALT
+ - HIGH
+ - INC
+ - INCL
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - SIZE
+ - TRUNC
+ - VAL
+
+
+
+
+ - NEW
+
+ - ALLOCATE
+ - DISPOSE
+
+ - DEALLOCATE
+
+
+
+
+
+ - SYSTEM
+
+ - ADDRESS
+ - PROCESS
+ - WORD
+
+ - ADR
+ - NEWPROCESS
+ - TRANSFER
+ - TSIZE
+
+
+
+
+
+ - AND
+ - ARRAY
+ - BEGIN
+ - BY
+ - CASE
+ - CONST
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - EXCEPT
+ - EXPORT
+ - FINALLY
+ - FOR
+ - FORWARD
+ - FROM
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NOT
+ - OF
+ - OR
+ - PACKEDSET
+ - POINTER
+ - PROCEDURE
+ - QUALIFIED
+ - RECORD
+ - REM
+ - REPEAT
+ - RETRY
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WITH
+
+
+
+
+ - FALSE
+ - INTERRUPTIBLE
+ - NIL
+ - TRUE
+ - UNINTERRUPTIBLE
+
+
+
+
+ - BOOLEAN
+ - BITSET
+ - CARDINAL
+ - COMPLEX
+ - CHAR
+ - INTEGER
+ - LONGCOMPLEX
+ - LONGREAL
+ - PROC
+ - PROTECTION
+ - REAL
+
+
+
+
+ - ABS
+ - CAP
+ - CHR
+ - CMPLX
+ - DEC
+ - EXCL
+ - FLOAT
+ - HALT
+ - HIGH
+ - IM
+ - INC
+ - INCL
+ - INT
+ - LENGTH
+ - LFLOAT
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - RE
+ - SIZE
+ - TRUNC
+ - VAL
+
+
+
+
+ - NEW
+
+ - ALLOCATE
+ - DISPOSE
+
+ - DEALLOCATE
+
+
+
+
+
+ - SYSTEM
+
+ - BITSPERLOC
+ - BITSPERWORD
+
+ - ADDRESS
+ - LOC
+ - WORD
+
+ - ADDADR
+ - ADR
+ - CAST
+ - DIFADR
+ - MAKEADR
+ - ROTATE
+ - SHIFT
+ - SUBADR
+ - TSIZE
+
+
+
+
+
+ - ALIAS
+ - AND
+ - ARGLIST
+ - ARRAY
+ - BEGIN
+ - BLUEPRINT
+ - BY
+ - CASE
+ - CONST
+ - COPY
+ - DEFINITION
+ - DIV
+ - DO
+ - ELSE
+ - ELSIF
+ - END
+ - EXIT
+ - FOR
+ - FROM
+ - GENLIB
+ - IF
+ - IMPLEMENTATION
+ - IMPORT
+ - IN
+ - LOOP
+ - MOD
+ - MODULE
+ - NEW
+ - NOT
+ - OF
+ - OPAQUE
+ - OR
+ - POINTER
+ - PROCEDURE
+ - READ
+ - RECORD
+ - REFERENTIAL
+ - RELEASE
+ - REPEAT
+ - RETAIN
+ - RETURN
+ - SET
+ - THEN
+ - TO
+ - TYPE
+ - UNTIL
+ - VAR
+ - WHILE
+ - WRITE
+ - YIELD
+
+
+
+
+ - FALSE
+ - NIL
+ - TRUE
+
+
+
+ - BOOLEAN
+ - CARDINAL
+ - CHAR
+ - INTEGER
+ - LONGCARD
+ - LONGINT
+ - LONGREAL
+ - OCTET
+ - REAL
+ - UNICHAR
+
+
+
+
+ - ABS
+ - APPEND
+ - CAPACITY
+ - CHR
+ - COUNT
+ - ENTIER
+ - INSERT
+ - LENGTH
+ - LOG2
+ - MAX
+ - MIN
+ - ODD
+ - ORD
+ - POW2
+ - PRED
+ - PTR
+ - REMOVE
+ - SGN
+ - SUCC
+
+
+
+
+ - TLIMIT
+ - TMAX
+ - TMIN
+ - TSIZE
+
+
+
+
+
+ - CAST
+
+ - UNSAFE
+
+ - BitsPerAddress
+ - BitsPerByte
+ - BytesPerWord
+ - BytesPerLongWord
+
+ - ADDRESS
+ - BYTE
+ - LONGWORD
+ - OCTETSEQ
+ - WORD
+
+ - ADD
+ - ADR
+ - BIT
+ - BWAND
+ - BWNOT
+ - BWOR
+ - HALT
+ - SETBIT
+ - SHL
+ - SHR
+ - SUB
+
+ - NOP
+
+
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
+
+
+
-
-
+
+
+
+
+
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
+
+
+
diff --git a/kate/data/monobasic.xml b/kate/data/monobasic.xml
index 51f62d539..558dded9a 100644
--- a/kate/data/monobasic.xml
+++ b/kate/data/monobasic.xml
@@ -10,93 +10,93 @@
License: GNU General Public License
-->
-
+
- - Option
- - Explicit
- - Strict
- - Imports
- - Inherits
- - As
- - New
- - Dim
- - Redim
- - Private
- - Friend
- - Public
- - Const
- - ReadOnly
- - WriteOnly
- - Default
- - Shared
- - Shadows
- - Protected
- - Overloads
- - Overrides
- - NotOverridable
- - NotInheritable
- - MustInherit
- - MustOverride
- - MyBase
- - MyClass
- - Me
- - Delegate
- - Catch
- - Finaly
- - When
- - Throw
- - To
- - Step
- - Then
- - Else
- - True
- - False
- - Nothing
- - Call
- - ByVal
- - ByRef
- - Optional
- - ParamArray
- - Return
- - Declare
- - WithEvents
- - Event
- - RaiseEvent
- - AddHandler
- - And
- - Or
- - Not
- - Xor
- - AndAlso
- - OrElse
- - Goto
- - On
- - Error
- - Resume
+ - Option
+ - Explicit
+ - Strict
+ - Imports
+ - Inherits
+ - As
+ - New
+ - Dim
+ - Redim
+ - Private
+ - Friend
+ - Public
+ - Const
+ - ReadOnly
+ - WriteOnly
+ - Default
+ - Shared
+ - Shadows
+ - Protected
+ - Overloads
+ - Overrides
+ - NotOverridable
+ - NotInheritable
+ - MustInherit
+ - MustOverride
+ - MyBase
+ - MyClass
+ - Me
+ - Delegate
+ - Catch
+ - Finaly
+ - When
+ - Throw
+ - To
+ - Step
+ - Then
+ - Else
+ - True
+ - False
+ - Nothing
+ - Call
+ - ByVal
+ - ByRef
+ - Optional
+ - ParamArray
+ - Return
+ - Declare
+ - WithEvents
+ - Event
+ - RaiseEvent
+ - AddHandler
+ - And
+ - Or
+ - Not
+ - Xor
+ - AndAlso
+ - OrElse
+ - Goto
+ - On
+ - Error
+ - Resume
- - Boolean
- - Char
- - String
- - Integer
- - Long
- - Double
- - Object
- - Exception
- - Date
- - DateTime
- - Int16
- - Int32
- - Int64
- - ParamArray
- - TimeSpan
- - Byte
- - Decimal
- - IntPtr
- - Single
- - Guid
+ - Boolean
+ - Char
+ - String
+ - Integer
+ - Long
+ - Double
+ - Object
+ - Exception
+ - Date
+ - DateTime
+ - Int16
+ - Int32
+ - Int64
+ - ParamArray
+ - TimeSpan
+ - Byte
+ - Decimal
+ - IntPtr
+ - Single
+ - Guid
@@ -200,6 +200,7 @@
+
diff --git a/kate/data/mup.xml b/kate/data/mup.xml
index 38d949b38..a33fb4956 100644
--- a/kate/data/mup.xml
+++ b/kate/data/mup.xml
@@ -1,578 +1,578 @@
-
+
- - score
- - music
- - header
- - header2
- - footer
- - footer2
- - top
- - top2
- - bottom
- - bottom2
- - staff
- - voice
- - grids
- - music
- - headshapes
- - block
+ - score
+ - music
+ - header
+ - header2
+ - footer
+ - footer2
+ - top
+ - top2
+ - bottom
+ - bottom2
+ - staff
+ - voice
+ - grids
+ - music
+ - headshapes
+ - block
- - aboveorder
- - addtranspose
- - barstyle
- - beamslope
- - beamstyle
- - beloworder
- - betweenorder
- - bottommargin
- - brace
- - bracket
- - cancelkey
- - chorddist
- - clef
- - crescdist
- - defoct
- - dist
- - division
- - dyndist
- - endingstyle
- - firstpage
- - font
- - fontfamily
- - gridfret
- - gridsatend
- - gridscale
- - gridswhereused
- - key
- - label
- - label2
- - leftmargin
- - lyricsalign
- - lyricsfont
- - lyricsfontfamily
- - lyricssize
- - measnum
- - measnumfont
- - measnumfontfamily
- - measnumsize
- - noteheads
- - numbermrpt
- - ontheline
- - packexp
- - packfact
- - pad
- - pageheight
- - pagewidth
- - panelsperpage
- - pedstyle
- - printmultnum
- - rehstyle
- - release
- - restcombine
- - restsymmult
- - rightmargin
- - scale
- - scorepad
- - scoresep
- - size
- - stafflines
- - staffpad
- - staffs
- - staffscale
- - staffsep
- - stemlen
- - swingunit
- - sylposition
- - tabwhitebox
- - time
- - timeunit
- - topmargin
- - transpose
- - units
- - visible
- - vscheme
- - warn
+ - aboveorder
+ - addtranspose
+ - barstyle
+ - beamslope
+ - beamstyle
+ - beloworder
+ - betweenorder
+ - bottommargin
+ - brace
+ - bracket
+ - cancelkey
+ - chorddist
+ - clef
+ - crescdist
+ - defoct
+ - dist
+ - division
+ - dyndist
+ - endingstyle
+ - firstpage
+ - font
+ - fontfamily
+ - gridfret
+ - gridsatend
+ - gridscale
+ - gridswhereused
+ - key
+ - label
+ - label2
+ - leftmargin
+ - lyricsalign
+ - lyricsfont
+ - lyricsfontfamily
+ - lyricssize
+ - measnum
+ - measnumfont
+ - measnumfontfamily
+ - measnumsize
+ - noteheads
+ - numbermrpt
+ - ontheline
+ - packexp
+ - packfact
+ - pad
+ - pageheight
+ - pagewidth
+ - panelsperpage
+ - pedstyle
+ - printmultnum
+ - rehstyle
+ - release
+ - restcombine
+ - restsymmult
+ - rightmargin
+ - scale
+ - scorepad
+ - scoresep
+ - size
+ - stafflines
+ - staffpad
+ - staffs
+ - staffscale
+ - staffsep
+ - stemlen
+ - swingunit
+ - sylposition
+ - tabwhitebox
+ - time
+ - timeunit
+ - topmargin
+ - transpose
+ - units
+ - visible
+ - vscheme
+ - warn
- - y
- - n
- - 2f
- - 2o
- - 3f
- - 3o
- - mussym
- - octave
- - dyn
- - othertext
- - chord
- - lyrics
- - ending
- - reh
- - up
- - down
- - major
- - minor
- - perfect
- - augmented
- - diminished
- - maj
- - min
- - per
- - aug
- - dim
- - pedal
- - 8treble
- - treble8
- - treble
- - frenchviolin
- - soprano
- - mezzosoprano
- - alto
- - tenor
- - baritone
- - bass
- - cut
- - common
- - line
- - alt
- - pedstar
- - top
- - barred
- - grouped
- - times
- - boxed
- - circled
- - plain
- - 1n
- - 5n
- - 1drum
- - 5drum
- - drum
- - tab
- - inches
- - cm
- - whereused
+ - y
+ - n
+ - 2f
+ - 2o
+ - 3f
+ - 3o
+ - mussym
+ - octave
+ - dyn
+ - othertext
+ - chord
+ - lyrics
+ - ending
+ - reh
+ - up
+ - down
+ - major
+ - minor
+ - perfect
+ - augmented
+ - diminished
+ - maj
+ - min
+ - per
+ - aug
+ - dim
+ - pedal
+ - 8treble
+ - treble8
+ - treble
+ - frenchviolin
+ - soprano
+ - mezzosoprano
+ - alto
+ - tenor
+ - baritone
+ - bass
+ - cut
+ - common
+ - line
+ - alt
+ - pedstar
+ - top
+ - barred
+ - grouped
+ - times
+ - boxed
+ - circled
+ - plain
+ - 1n
+ - 5n
+ - 1drum
+ - 5drum
+ - drum
+ - tab
+ - inches
+ - cm
+ - whereused
- - define
- - ifdef
- - ifndef
+ - define
+ - ifdef
+ - ifndef
- - @
- - endif
+ - @
+ - endif
- - else
- - include
- - undef
+ - else
+ - include
+ - undef
- - exclamdown
- - questiondown
- - sterling
- - yen
- - cent
- - quotedblbase
- - quotedblleft
- - ``
- - quotedblright
- - ''
- - guillemotleft
- - <<
- - guillemotright
- - >>
- - guildsinglleft
- - guilsinglright
- - dagger
- - daggerdbl
- - grave
- - acute
- - macron
- - breve
- - dotaccent
- - dieresis
- - ring
- - cedilla
- - hungarumlaut
- - ogonek
- - caron
- - emdash
- - AE
- - ae
- - ordfeminine
- - ordmasculine
- - Lslash
- - L/
- - lslash
- - l/
- - Oslash
- - O/
- - oslash
- - o/
- - OE
- - oe
- - dotlessi
- - germandbls
- - ss
- - Aacute
- - A'
- - aacute
- - a'
- - Acircumflex
- - A^
- - acircumflex
- - a^
- - Adieresis
- - A:
- - adieresis
- - a:
- - Agrave
- - A`
- - agrave
- - a`
- - Aring
- - Ao
- - aring
- - ao
- - Atilde
- - A~
- - atilde
- - a~
- - Ccedilla
- - C,
- - ccedilla
- - c,
- - Eacute
- - E'
- - eacute
- - e'
- - Ecircumflex
- - E^
- - ecircumflex
- - e^
- - Edieresis
- - E:
- - edieresis
- - e:
- - Egrave
- - E`
- - egrave
- - e`
- - Iacute
- - I'
- - iacute
- - i'
- - Icircumflex
- - I^
- - icircumflex
- - i^
- - Idieresis
- - i:
- - idieresis
- - i:
- - Igrave
- - I`
- - igrave
- - i`
- - Ntilde
- - N~
- - ntilde
- - n~
- - Oacute
- - O'
- - oacute
- - o'
- - Ocircumflex
- - O^
- - ocircumflex
- - o^
- - Odieresis
- - O:
- - odieresis
- - o:
- - Ograve
- - O`
- - ograve
- - o`
- - Otilde
- - O~
- - otilde
- - o~
- - Scaron
- - Sv
- - scaron
- - sv
- - Uacute
- - U'
- - uacute
- - u'
- - Ucircumflex
- - U^
- - ucircumflex
- - u^
- - Udieresis
- - U:
- - udieresis
- - u:
- - Ugrave
- - U`
- - ugrave
- - u`
- - Ydieresis
- - Y:
- - ydieresis
- - y:
- - Zcaron
- - Zv
- - zcaron
- - zv
- - bullet
- - space
+ - exclamdown
+ - questiondown
+ - sterling
+ - yen
+ - cent
+ - quotedblbase
+ - quotedblleft
+ - ``
+ - quotedblright
+ - ''
+ - guillemotleft
+ - <<
+ - guillemotright
+ - >>
+ - guildsinglleft
+ - guilsinglright
+ - dagger
+ - daggerdbl
+ - grave
+ - acute
+ - macron
+ - breve
+ - dotaccent
+ - dieresis
+ - ring
+ - cedilla
+ - hungarumlaut
+ - ogonek
+ - caron
+ - emdash
+ - AE
+ - ae
+ - ordfeminine
+ - ordmasculine
+ - Lslash
+ - L/
+ - lslash
+ - l/
+ - Oslash
+ - O/
+ - oslash
+ - o/
+ - OE
+ - oe
+ - dotlessi
+ - germandbls
+ - ss
+ - Aacute
+ - A'
+ - aacute
+ - a'
+ - Acircumflex
+ - A^
+ - acircumflex
+ - a^
+ - Adieresis
+ - A:
+ - adieresis
+ - a:
+ - Agrave
+ - A`
+ - agrave
+ - a`
+ - Aring
+ - Ao
+ - aring
+ - ao
+ - Atilde
+ - A~
+ - atilde
+ - a~
+ - Ccedilla
+ - C,
+ - ccedilla
+ - c,
+ - Eacute
+ - E'
+ - eacute
+ - e'
+ - Ecircumflex
+ - E^
+ - ecircumflex
+ - e^
+ - Edieresis
+ - E:
+ - edieresis
+ - e:
+ - Egrave
+ - E`
+ - egrave
+ - e`
+ - Iacute
+ - I'
+ - iacute
+ - i'
+ - Icircumflex
+ - I^
+ - icircumflex
+ - i^
+ - Idieresis
+ - i:
+ - idieresis
+ - i:
+ - Igrave
+ - I`
+ - igrave
+ - i`
+ - Ntilde
+ - N~
+ - ntilde
+ - n~
+ - Oacute
+ - O'
+ - oacute
+ - o'
+ - Ocircumflex
+ - O^
+ - ocircumflex
+ - o^
+ - Odieresis
+ - O:
+ - odieresis
+ - o:
+ - Ograve
+ - O`
+ - ograve
+ - o`
+ - Otilde
+ - O~
+ - otilde
+ - o~
+ - Scaron
+ - Sv
+ - scaron
+ - sv
+ - Uacute
+ - U'
+ - uacute
+ - u'
+ - Ucircumflex
+ - U^
+ - ucircumflex
+ - u^
+ - Udieresis
+ - U:
+ - udieresis
+ - u:
+ - Ugrave
+ - U`
+ - ugrave
+ - u`
+ - Ydieresis
+ - Y:
+ - ydieresis
+ - y:
+ - Zcaron
+ - Zv
+ - zcaron
+ - zv
+ - bullet
+ - space
- - gclef
- - fclef
- - cclef
- - com
- - cut
- - flat
- - dblflat
- - sharp
- - dblsharp
- - nat
- - 4n
- - 2n
- - 1n
- - dblwhole
- - xnote
- - diamond
- - filldiamond
- - dwhdiamond
- - dn2n
- - dn4n
- - dn8n
- - dn16n
- - dn32n
- - dn64n
- - dn128n
- - dn256n
- - up2n
- - up4n
- - up8n
- - up16n
- - up32n
- - up64n
- - up128n
- - up256n
- - upflag
- - dnflag
- - qwhrest
- - dwhrest
- - 1rest
- - 2rest
- - 4rest
- - 8rest
- - 16rest
- - 32rest
- - 64rest
- - 128rest
- - 256rest
- - begped
- - endped
- - pedal
- - tr
- - mor
- - invmor
- - turn
- - invturn
- - ferm
- - uferm
- - acc_gt
- - acc_hat
- - acc_uhat
- - leg
- - dot
- - wedge
- - uwedge
- - sign
- - coda
- - upbow
- - dnbow
- - rr
- - measrpt
- - copyright
- - dim
- - halfdim
- - triangle
-
- - smgclef
- - smfclef
- - smcclef
- - smcom
- - smcut
- - smflat
- - smdblflat
- - smsharp
- - smdblsharp
- - smnat
- - sm4n
- - sm2n
- - sm1n
- - smdblwhole
- - smxnote
- - smdiamond
- - smfilldiamond
- - smdwhdiamond
- - smdn2n
- - smdn4n
- - smdn8n
- - smdn16n
- - smdn32n
- - smdn64n
- - smdn128n
- - smdn256n
- - smup2n
- - smup4n
- - smup8n
- - smup16n
- - smup32n
- - smup64n
- - smup128n
- - smup256n
- - smupflag
- - smdnflag
- - smqwhrest
- - smdwhrest
- - sm1rest
- - sm2rest
- - sm4rest
- - sm8rest
- - sm16rest
- - sm32rest
- - sm64rest
- - sm128rest
- - sm256rest
- - smbegped
- - smendped
- - smpedal
- - smtr
- - smmor
- - sminvmor
- - smturn
- - sminvturn
- - smferm
- - smuferm
- - smacc_gt
- - smacc_hat
- - smacc_uhat
- - smleg
- - smdot
- - smwedge
- - smuwedge
- - smsign
- - smcoda
- - smupbow
- - smdnbow
- - smrr
- - smmeasrpt
- - smcopyright
- - smdim
- - smhalfdim
- - smtriangle
+ - gclef
+ - fclef
+ - cclef
+ - com
+ - cut
+ - flat
+ - dblflat
+ - sharp
+ - dblsharp
+ - nat
+ - 4n
+ - 2n
+ - 1n
+ - dblwhole
+ - xnote
+ - diamond
+ - filldiamond
+ - dwhdiamond
+ - dn2n
+ - dn4n
+ - dn8n
+ - dn16n
+ - dn32n
+ - dn64n
+ - dn128n
+ - dn256n
+ - up2n
+ - up4n
+ - up8n
+ - up16n
+ - up32n
+ - up64n
+ - up128n
+ - up256n
+ - upflag
+ - dnflag
+ - qwhrest
+ - dwhrest
+ - 1rest
+ - 2rest
+ - 4rest
+ - 8rest
+ - 16rest
+ - 32rest
+ - 64rest
+ - 128rest
+ - 256rest
+ - begped
+ - endped
+ - pedal
+ - tr
+ - mor
+ - invmor
+ - turn
+ - invturn
+ - ferm
+ - uferm
+ - acc_gt
+ - acc_hat
+ - acc_uhat
+ - leg
+ - dot
+ - wedge
+ - uwedge
+ - sign
+ - coda
+ - upbow
+ - dnbow
+ - rr
+ - measrpt
+ - copyright
+ - dim
+ - halfdim
+ - triangle
+
+ - smgclef
+ - smfclef
+ - smcclef
+ - smcom
+ - smcut
+ - smflat
+ - smdblflat
+ - smsharp
+ - smdblsharp
+ - smnat
+ - sm4n
+ - sm2n
+ - sm1n
+ - smdblwhole
+ - smxnote
+ - smdiamond
+ - smfilldiamond
+ - smdwhdiamond
+ - smdn2n
+ - smdn4n
+ - smdn8n
+ - smdn16n
+ - smdn32n
+ - smdn64n
+ - smdn128n
+ - smdn256n
+ - smup2n
+ - smup4n
+ - smup8n
+ - smup16n
+ - smup32n
+ - smup64n
+ - smup128n
+ - smup256n
+ - smupflag
+ - smdnflag
+ - smqwhrest
+ - smdwhrest
+ - sm1rest
+ - sm2rest
+ - sm4rest
+ - sm8rest
+ - sm16rest
+ - sm32rest
+ - sm64rest
+ - sm128rest
+ - sm256rest
+ - smbegped
+ - smendped
+ - smpedal
+ - smtr
+ - smmor
+ - sminvmor
+ - smturn
+ - sminvturn
+ - smferm
+ - smuferm
+ - smacc_gt
+ - smacc_hat
+ - smacc_uhat
+ - smleg
+ - smdot
+ - smwedge
+ - smuwedge
+ - smsign
+ - smcoda
+ - smupbow
+ - smdnbow
+ - smrr
+ - smmeasrpt
+ - smcopyright
+ - smdim
+ - smhalfdim
+ - smtriangle
- - print
- - left
- - right
- - center
- - title
- - paragraph
- - postscript
+ - print
+ - left
+ - right
+ - center
+ - title
+ - paragraph
+ - postscript
- - avantgarde
- - bookman
- - courier
- - helvetica
- - newcentury
- - palatino
- - times
+ - avantgarde
+ - bookman
+ - courier
+ - helvetica
+ - newcentury
+ - palatino
+ - times
- - rom
- - bold
- - ital
- - boldital
+ - rom
+ - bold
+ - ital
+ - boldital
- - chord
- - analysis
- - figbass
- - dyn
+ - chord
+ - analysis
+ - figbass
+ - dyn
- - dotted
- - dashed
- - wavy
- - wide
- - medium
-
- - line
- - curve
- - to
- - bulge
-
- - octave
- - mussym
- - phrase
- - pedal
- - roll
- - to
-
- - down
- - up
- - with
-
- - midi
+ - dotted
+ - dashed
+ - wavy
+ - wide
+ - medium
+
+ - line
+ - curve
+ - to
+ - bulge
+
+ - octave
+ - mussym
+ - phrase
+ - pedal
+ - roll
+ - to
+
+ - down
+ - up
+ - with
+
+ - midi
- - above
- - below
- - between
- - all
+ - above
+ - below
+ - between
+ - all
@@ -747,7 +747,7 @@
-
diff --git a/kate/data/nagios.xml b/kate/data/nagios.xml
index 362103a47..20b81eab7 100644
--- a/kate/data/nagios.xml
+++ b/kate/data/nagios.xml
@@ -1,6 +1,6 @@
-
+
- host
@@ -23,7 +23,6 @@
-
diff --git a/kate/data/nasm.xml b/kate/data/nasm.xml
index 8c5dbf03e..ba50d5008 100644
--- a/kate/data/nasm.xml
+++ b/kate/data/nasm.xml
@@ -40,7 +40,7 @@ You should have received a copy of the GNU General Public License along
with this program; if not, write to the Free Software Foundation, Inc.,
51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
-->
-
+
diff --git a/kate/data/nesc.xml b/kate/data/nesc.xml
index 5a88f87a0..5a5d0db54 100644
--- a/kate/data/nesc.xml
+++ b/kate/data/nesc.xml
@@ -1,86 +1,86 @@
-
+
- - break
- - case
- - continue
- - default
- - do
- - else
- - enum
- - extern
- - for
- - goto
- - if
- - inline
- - return
- - sizeof
- - struct
- - switch
- - typedef
- - union
- - while
- interface
- - module
- - configuration
- - implementation
- - components
- - as
- - uses
- - provides
- - includes
- - command
- - event
- - async
- - task
- - norace
- - default
- - __attribute__
- - atomic
- - call
- - signal
- - post
+ - break
+ - case
+ - continue
+ - default
+ - do
+ - else
+ - enum
+ - extern
+ - for
+ - goto
+ - if
+ - inline
+ - return
+ - sizeof
+ - struct
+ - switch
+ - typedef
+ - union
+ - while
- interface
+ - module
+ - configuration
+ - implementation
+ - components
+ - as
+ - uses
+ - provides
+ - includes
+ - command
+ - event
+ - async
+ - task
+ - norace
+ - default
+ - __attribute__
+ - atomic
+ - call
+ - signal
+ - post
- - auto
- - char
- - const
- - double
- - float
- - int
- - long
- - register
- - restrict
- - short
- - signed
- - static
- - unsigned
- - void
- - volatile
- - _Imaginary
- - _Complex
- - _Bool
- - bool
- - uint8_t
- - uint16_t
- - uint32_t
- - uint64_t
- - result_t
- - SUCCESS
- - FAIL
- - TRUE
- - FALSE
- - TOSH_INTERRUPT
- - TOSH_SIGNAL
- - TOS_MsgPtr
- - TOS_Msg
+ - auto
+ - char
+ - const
+ - double
+ - float
+ - int
+ - long
+ - register
+ - restrict
+ - short
+ - signed
+ - static
+ - unsigned
+ - void
+ - volatile
+ - _Imaginary
+ - _Complex
+ - _Bool
+ - bool
+ - uint8_t
+ - uint16_t
+ - uint32_t
+ - uint64_t
+ - result_t
+ - SUCCESS
+ - FAIL
+ - TRUE
+ - FALSE
+ - TOSH_INTERRUPT
+ - TOSH_SIGNAL
+ - TOS_MsgPtr
+ - TOS_Msg
- - FIXME
- - TODO
- - ###
+ - FIXME
+ - TODO
+ - ###
@@ -88,24 +88,13 @@
-
-
-
+
-
-
-
-
-
-
-
-
-
-
+
-
+
@@ -113,6 +102,19 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -121,22 +123,15 @@
-
-
-
+
-
+
-
-
+
+
-
-
-
-
-
-
+
@@ -151,8 +146,6 @@
-
-
@@ -189,4 +182,4 @@
-
\ No newline at end of file
+
diff --git a/kate/data/ocaml.xml b/kate/data/ocaml.xml
index 15a876f37..4d47d7b04 100644
--- a/kate/data/ocaml.xml
+++ b/kate/data/ocaml.xml
@@ -1,5 +1,5 @@
-
+
- - in
- - as
- - forall
+ - in
+ - as
+ - forall
- - and
- - as
- - assert
- - asr
- - begin
- - class
- - closed
- - constraint
- - do
- - done
- - downto
- - else
- - end
- - exception
- - external
- - false
- - for
- - fun
- - function
- - functor
- - if
- - in
- - include
- - inherit
- - land
- - lazy
- - let
- - lor
- - lsl
- - lsr
- - lxor
- - match
- - method
- - mod
- - module
- - mutable
- - new
- - object
- - of
- - open
- - or
- - parser
- - private
- - rec
- - sig
- - struct
- - then
- - to
- - true
- - try
- - type
- - val
- - virtual
- - when
- - while
- - with
+ - and
+ - as
+ - assert
+ - asr
+ - begin
+ - class
+ - closed
+ - constraint
+ - do
+ - done
+ - downto
+ - else
+ - end
+ - exception
+ - external
+ - false
+ - for
+ - fun
+ - function
+ - functor
+ - if
+ - in
+ - include
+ - inherit
+ - land
+ - lazy
+ - let
+ - lor
+ - lsl
+ - lsr
+ - lxor
+ - match
+ - method
+ - mod
+ - module
+ - mutable
+ - new
+ - nonrec
+ - object
+ - of
+ - open
+ - or
+ - parser
+ - private
+ - rec
+ - sig
+ - struct
+ - then
+ - to
+ - true
+ - try
+ - type
+ - val
+ - virtual
+ - when
+ - while
+ - with
- - declare
- - value
- - where
+ - declare
+ - value
+ - where
- - abs
- - abs_float
- - acos
- - asin
- - at_exit
- - atan
- - atan2
- - bool_of_string
- - ceil
- - char_of_int
- - classify_float
- - close_in
- - close_in_noerr
- - close_out
- - close_out_noerr
- - compare
- - cos
- - cosh
- - decr
- - do_at_exit
- - epsilon_float
- - exp
- - float
- - float_of_int
- - float_of_string
- - floor
- - flush
- - flush_all
- - format_of_string
- - frexp
- - fst
- - ignore
- - in_channel_length
- - incr
- - infinity
- - input
- - input_binary_int
- - input_byte
- - input_char
- - input_line
- - input_value
- - int_of_char
- - int_of_float
- - int_of_string
- - ldexp
- - lnot
- - log
- - log10
- - max
- - max_float
- - max_int
- - min
- - min_float
- - min_int
- - mod_float
- - modf
- - nan
- - neg_infinity
- - not
- - open_in
- - open_in_bin
- - open_in_gen
- - open_out
- - open_out_bin
- - open_out_gen
- - out_channel_length
- - output
- - output_binary_int
- - output_byte
- - output_char
- - output_string
- - output_value
- - pos_in
- - pos_out
- - pred
- - prerr_char
- - prerr_endline
- - prerr_float
- - prerr_int
- - prerr_newline
- - prerr_string
- - print_char
- - print_endline
- - print_float
- - print_int
- - print_newline
- - print_string
- - read_float
- - read_int
- - read_line
- - really_input
- - ref
- - seek_in
- - seek_out
- - set_binary_mode_in
- - set_binary_mode_out
- - sin
- - sinh
- - snd
- - sqrt
- - stderr
- - stdin
- - stdout
- - string_of_bool
- - string_of_float
- - string_of_format
- - string_of_int
- - succ
- - tan
- - tanh
- - truncate
- - unsafe_really_input
- - valid_float_lexem
+ - abs
+ - abs_float
+ - acos
+ - asin
+ - at_exit
+ - atan
+ - atan2
+ - bool_of_string
+ - ceil
+ - char_of_int
+ - classify_float
+ - close_in
+ - close_in_noerr
+ - close_out
+ - close_out_noerr
+ - compare
+ - copysign
+ - cos
+ - cosh
+ - decr
+ - do_at_exit
+ - epsilon_float
+ - exp
+ - expm1
+ - float
+ - float_of_int
+ - float_of_string
+ - floor
+ - flush
+ - flush_all
+ - format_of_string
+ - frexp
+ - fst
+ - hypot
+ - ignore
+ - in_channel_length
+ - incr
+ - infinity
+ - input
+ - input_binary_int
+ - input_byte
+ - input_char
+ - input_line
+ - input_value
+ - int_of_char
+ - int_of_float
+ - int_of_string
+ - ldexp
+ - lnot
+ - log
+ - log10
+ - log1p
+ - max
+ - max_float
+ - max_int
+ - min
+ - min_float
+ - min_int
+ - mod_float
+ - modf
+ - nan
+ - neg_infinity
+ - not
+ - open_in
+ - open_in_bin
+ - open_in_gen
+ - open_out
+ - open_out_bin
+ - open_out_gen
+ - out_channel_length
+ - output
+ - output_binary_int
+ - output_byte
+ - output_bytes
+ - output_char
+ - output_string
+ - output_substring
+ - output_value
+ - pos_in
+ - pos_out
+ - pred
+ - prerr_bytes
+ - prerr_char
+ - prerr_endline
+ - prerr_float
+ - prerr_int
+ - prerr_newline
+ - prerr_string
+ - print_bytes
+ - print_char
+ - print_endline
+ - print_float
+ - print_int
+ - print_newline
+ - print_string
+ - read_float
+ - read_int
+ - read_line
+ - really_input
+ - really_input_string
+ - ref
+ - seek_in
+ - seek_out
+ - set_binary_mode_in
+ - set_binary_mode_out
+ - sin
+ - sinh
+ - snd
+ - sqrt
+ - stderr
+ - stdin
+ - stdout
+ - string_of_bool
+ - string_of_float
+ - string_of_format
+ - string_of_int
+ - succ
+ - tan
+ - tanh
+ - truncate
+ - unsafe_really_input
+ - valid_float_lexem
- - exit
- - failwith
- - invalid_arg
- - raise
+ - exit
+ - failwith
+ - invalid_arg
+ - raise
+ - raise_notrace
- - array
- - bool
- - char
- - exn
- - format4
- - fpclass
- - in_channel
- - int
- - int32
- - int64
- - lazy_t
- - list
- - nativeint
- - open_flag
- - option
- - out_channel
- - real
- - ref
- - string
- - unit
+ - array
+ - bool
+ - bytes
+ - char
+ - exn
+ - float
+ - format4
+ - format6
+ - fpclass
+ - in_channel
+ - int
+ - int32
+ - int64
+ - lazy_t
+ - list
+ - nativeint
+ - open_flag
+ - option
+ - out_channel
+ - real
+ - ref
+ - string
+ - unit
- - FP_infinite
- - FP_nan
- - FP_normal
- - FP_subnormal
- - FP_zero
- - None
- - Open_append
- - Open_append
- - Open_binary
- - Open_binary
- - Open_creat
- - Open_creat
- - Open_excl
- - Open_excl
- - Open_nonblock
- - Open_nonblock
- - Open_rdonly
- - Open_rdonly
- - Open_text
- - Open_text
- - Open_trunc
- - Open_trunc
- - Open_wronly
- - Open_wronly
- - Some
+ - FP_infinite
+ - FP_nan
+ - FP_normal
+ - FP_subnormal
+ - FP_zero
+ - None
+ - Open_append
+ - Open_binary
+ - Open_creat
+ - Open_excl
+ - Open_nonblock
+ - Open_rdonly
+ - Open_text
+ - Open_trunc
+ - Open_wronly
+ - Some
- - Assert_failure
- - Division_by_zero
- - End_of_file
- - Exit
- - Failure
- - Invalid_argument
- - Match_failure
- - Not_found
- - Out_of_memory
- - Stack_overflow
- - Sys_blocked_io
- - Sys_error
- - Undefined_recursive_module
+ - Assert_failure
+ - Division_by_zero
+ - End_of_file
+ - Exit
+ - Failure
+ - Invalid_argument
+ - Match_failure
+ - Not_found
+ - Out_of_memory
+ - Stack_overflow
+ - Sys_blocked_io
+ - Sys_error
+ - Undefined_recursive_module
- - Arg
- - Array
- - ArrayLabels
- - Buffer
- - Callback
- - Char
- - Complex
- - Digest
- - Filename
- - Format
- - Gc
- - Genlex
- - Hashtbl
- - Int32
- - Int64
- - Lazy
- - Lexing
- - List
- - ListLabels
- - Map
- - Marshal
- - MoreLabels
- - Nativeint
- - Oo
- - Parsing
- - Printexc
- - Printf
- - Queue
- - Random
- - Scanf
- - Set
- - Sort
- - Stack
- - StdLabels
- - Stream
- - String
- - StringLabels
- - Sys
- - Weak
+ - Arg
+ - Array
+ - ArrayLabels
+ - Buffer
+ - Bytes
+ - Callback
+ - Char
+ - Complex
+ - Digest
+ - Ephemeron
+ - Filename
+ - Format
+ - Gc
+ - Genlex
+ - Hashtbl
+ - Int32
+ - Int64
+ - Lazy
+ - Lexing
+ - List
+ - ListLabels
+ - Map
+ - Marshal
+ - MoreLabels
+ - Nativeint
+ - Oo
+ - Parsing
+ - Printexc
+ - Printf
+ - Queue
+ - Random
+ - Scanf
+ - Set
+ - Sort
+ - Spacetime
+ - Stack
+ - StdLabels
+ - Stream
+ - String
+ - StringLabels
+ - Sys
+ - Uchar
+ - Weak
@@ -471,7 +480,7 @@
-
+
@@ -503,7 +512,7 @@
-
+
diff --git a/kate/data/ocamllex.xml b/kate/data/ocamllex.xml
index ba078e829..14b5837b9 100644
--- a/kate/data/ocamllex.xml
+++ b/kate/data/ocamllex.xml
@@ -20,13 +20,13 @@
- - and
- - as
- - eof
- - let
- - parse
- - rule
- - shortest
+ - and
+ - as
+ - eof
+ - let
+ - parse
+ - rule
+ - shortest
diff --git a/kate/data/ocamlyacc.xml b/kate/data/ocamlyacc.xml
index 620db8d55..6d4d7ba6c 100644
--- a/kate/data/ocamlyacc.xml
+++ b/kate/data/ocamlyacc.xml
@@ -22,20 +22,20 @@
- - %token
- - %type
+ - %token
+ - %type
- - %left
- - %right
- - %nonassoc
- - %start
+ - %left
+ - %right
+ - %nonassoc
+ - %start
- - %prec
- - error
+ - %prec
+ - error
diff --git a/kate/data/oors.xml b/kate/data/oors.xml
index f189ddde4..d28011ca2 100644
--- a/kate/data/oors.xml
+++ b/kate/data/oors.xml
@@ -1,6 +1,6 @@
-
+
- allow
@@ -72,16 +72,9 @@
-
-
-
-
-
-
-
diff --git a/kate/data/pango.xml b/kate/data/pango.xml
index e439e0664..2a4f42084 100644
--- a/kate/data/pango.xml
+++ b/kate/data/pango.xml
@@ -1,59 +1,62 @@
-
+
-
+
@@ -145,7 +148,6 @@
-
@@ -153,7 +155,6 @@
-
-
-
+
+
diff --git a/kate/data/perl.xml b/kate/data/perl.xml
index 535c82003..59f46d436 100644
--- a/kate/data/perl.xml
+++ b/kate/data/perl.xml
@@ -39,88 +39,88 @@
Enhance tr/// and y/// support.
-->
-
+
- - if
- - unless
- - else
- - elsif
- - while
- - until
- - for
- - each
- - foreach
- - next
- - last
- - break
- - continue
- - return
- - my
- - our
- - local
- - state
- - BEGIN
- - END
- - package
- - sub
- - do
- - given
- - when
- - default
- - __END__
- - __DATA__
- - __FILE__
- - __LINE__
- - __PACKAGE__
+ - if
+ - unless
+ - else
+ - elsif
+ - while
+ - until
+ - for
+ - each
+ - foreach
+ - next
+ - last
+ - break
+ - continue
+ - return
+ - my
+ - our
+ - local
+ - state
+ - BEGIN
+ - END
+ - package
+ - sub
+ - do
+ - given
+ - when
+ - default
+ - __END__
+ - __DATA__
+ - __FILE__
+ - __LINE__
+ - __PACKAGE__
- - =
- - !=
- - ~=
- - +=
- - -=
- - *=
- - /=
- - **=
- - |=
- - ||=
- - //=
- - &=
- - &&=
- - ?=
- - +
- - -
- - *
-
- - %
- - ||
- - //
- - &&
- - |
- - &
- - <
- - <<
- - >
- - >>
- - ^
- - ->
- - =>
- - .
- - ,
- - ;
- - ::
- - \
- - and
- - or
- - not
- - eq
- - ne
- - lt
- - gt
- - le
- - ge
- - cmp
+ - =
+ - !=
+ - ~=
+ - +=
+ - -=
+ - *=
+ - /=
+ - **=
+ - |=
+ - ||=
+ - //=
+ - &=
+ - &&=
+ - ?=
+ - +
+ - -
+ - *
+
+ - %
+ - ||
+ - //
+ - &&
+ - |
+ - &
+ - <
+ - <<
+ - >
+ - >>
+ - ^
+ - ->
+ - =>
+ - .
+ - ,
+ - ;
+ - ::
+ - \
+ - and
+ - or
+ - not
+ - eq
+ - ne
+ - lt
+ - gt
+ - le
+ - ge
+ - cmp
- abs
@@ -358,13 +358,14 @@
-
+
+
-
+
@@ -423,9 +424,17 @@
-
+
+
+
+
+
+
+
+
+
-
+
@@ -517,6 +526,8 @@
+
+
@@ -532,17 +543,27 @@
+
+
+
+
+
+
+
-
+
+
+
+
@@ -605,6 +626,12 @@
+
+
+
+
+
+
@@ -612,8 +639,14 @@
+
+
+
+
+
+
@@ -622,8 +655,15 @@
+
+
+
+
+
+
+
-
+
@@ -664,31 +704,36 @@
+
+
+
-
-
+
-
+
+
+
+
+
-
-
-
+
+
@@ -744,14 +789,14 @@
-
-
+
+
-
+
@@ -760,10 +805,6 @@
-
-
-
-
@@ -776,10 +817,6 @@
-
-
-
-
@@ -829,7 +866,6 @@
-
diff --git a/kate/data/pgn.xml b/kate/data/pgn.xml
index 05c277dd2..645ca6465 100644
--- a/kate/data/pgn.xml
+++ b/kate/data/pgn.xml
@@ -12,54 +12,54 @@
- Know bugs:
* None.
-->
-
+
- - Event
- - Site
- - Date
- - Round
- - White
- - Black
- - Result
- - ECO
- - Annotator
- - PlyCount
- - EventDate
- - EventCountry
- - SourceDate
- - WhiteTitle
- - BlackTitle
- - FM
- - IM
- - GM
- - WhiteElo
- - BlackElo
- - WhiteNA
- - BlackNA
- - WhiteType
- - BlackType
- - program
- - human
- - TimeControl
- - FEN
- - Termination
- - abandoned
- - adjudication
- - death
- - emergency
- - normal
- - rules
- - infraction
- - time
- - forfeit
- - unterminated
- - Mode
- - OTB
- - PM
- - EM
- - ICS
- - TC
+ - Event
+ - Site
+ - Date
+ - Round
+ - White
+ - Black
+ - Result
+ - ECO
+ - Annotator
+ - PlyCount
+ - EventDate
+ - EventCountry
+ - SourceDate
+ - WhiteTitle
+ - BlackTitle
+ - FM
+ - IM
+ - GM
+ - WhiteElo
+ - BlackElo
+ - WhiteNA
+ - BlackNA
+ - WhiteType
+ - BlackType
+ - program
+ - human
+ - TimeControl
+ - FEN
+ - Termination
+ - abandoned
+ - adjudication
+ - death
+ - emergency
+ - normal
+ - rules
+ - infraction
+ - time
+ - forfeit
+ - unterminated
+ - Mode
+ - OTB
+ - PM
+ - EM
+ - ICS
+ - TC
diff --git a/kate/data/picsrc.xml b/kate/data/picsrc.xml
index 39964a7bb..a60c89017 100644
--- a/kate/data/picsrc.xml
+++ b/kate/data/picsrc.xml
@@ -8,279 +8,279 @@
* Updated for 16-bit devices, etc.: Laurence Withers
-->
-
+
- - __BADRAM
- - __CONFIG
- - __IDLOCS
- - __MAXRAM
+ - __BADRAM
+ - __CONFIG
+ - __IDLOCS
+ - __MAXRAM
- - cblock
- - constant
- - da
- - data
- - db
- - de
- - dt
- - dw
- - endc
- - endm
- - equ
- - error
- - errorlevel
- - exitm
- - fill
- - list
- - local
- - macro
- - messg
- - noexpand
- - nolist
- - org
- - page
- - processor
- - radix
- - res
- - set
- - space
- - subtitle
- - title
- - variable
- - end
+ - cblock
+ - constant
+ - da
+ - data
+ - db
+ - de
+ - dt
+ - dw
+ - endc
+ - endm
+ - equ
+ - error
+ - errorlevel
+ - exitm
+ - fill
+ - list
+ - local
+ - macro
+ - messg
+ - noexpand
+ - nolist
+ - org
+ - page
+ - processor
+ - radix
+ - res
+ - set
+ - space
+ - subtitle
+ - title
+ - variable
+ - end
- - CBLOCK
- - CONSTANT
- - DA
- - DATA
- - DB
- - DE
- - DT
- - DW
- - ENDC
- - ENDM
- - EQU
- - ERROR
- - ERRORLEVEL
- - EXITM
- - FILL
- - LIST
- - LOCAL
- - MACRO
- - MESSG
- - NOEXPAND
- - NOLIST
- - ORG
- - PAGE
- - PROCESSOR
- - RADIX
- - RES
- - SET
- - SPACE
- - SUBTITLE
- - TITLE
- - VARIABLE
- - END
+ - CBLOCK
+ - CONSTANT
+ - DA
+ - DATA
+ - DB
+ - DE
+ - DT
+ - DW
+ - ENDC
+ - ENDM
+ - EQU
+ - ERROR
+ - ERRORLEVEL
+ - EXITM
+ - FILL
+ - LIST
+ - LOCAL
+ - MACRO
+ - MESSG
+ - NOEXPAND
+ - NOLIST
+ - ORG
+ - PAGE
+ - PROCESSOR
+ - RADIX
+ - RES
+ - SET
+ - SPACE
+ - SUBTITLE
+ - TITLE
+ - VARIABLE
+ - END
- - if
- - else
- - idef
- - ifndef
- - endif
- - while
- - include
- - endw
- - {
- - }
+ - if
+ - else
+ - idef
+ - ifndef
+ - endif
+ - while
+ - include
+ - endw
+ - {
+ - }
- - addcf
- - b
- - clrc
- - clrz
- - setc
- - setz
- - movfw
- - skpc
- - skpz
- - skpnc
- - skpnz
- - subcf
- - tstf
+ - addcf
+ - b
+ - clrc
+ - clrz
+ - setc
+ - setz
+ - movfw
+ - skpc
+ - skpz
+ - skpnc
+ - skpnz
+ - subcf
+ - tstf
- - ADDCF
- - B
- - CLRC
- - CLRZ
- - SETC
- - SETZ
- - MOVFW
- - SKPC
- - SKPZ
- - SKPNC
- - SKPNZ
- - SUBCF
- - TSTF
+ - ADDCF
+ - B
+ - CLRC
+ - CLRZ
+ - SETC
+ - SETZ
+ - MOVFW
+ - SKPC
+ - SKPZ
+ - SKPNC
+ - SKPNZ
+ - SUBCF
+ - TSTF
- - addlw
- - addwf
- - addwfc
- - andlw
- - andwf
- - bc
- - bcf
- - bn
- - bnc
- - bnov
- - bnz
- - bov
- - bra
- - bsf
- - btg
- - bz
- - btfsc
- - btfss
- - call
- - clrf
- - clrw
- - clrwdt
- - comf
- - cpfseq
- - cpfslt
- - cpfsgt
- - daw
- - decf
- - dcfsnz
- - decfsz
- - goto
- - incf
- - incfsz
- - infsnz
- - iorlw
- - iorwf
- - lfsr
- - movf
- - movff
- - movlb
- - movlw
- - movwf
- - mullw
- - mulwf
- - negf
- - nop
- - option
- - pop
- - push
- - rcall
- - reset
- - retfie
- - retlw
- - return
- - rlcf
- - rlf
- - rlncf
- - rrcf
- - rrf
- - rrncf
- - setf
- - sleep
- - subfwb
- - sublw
- - subwf
- - subwfb
- - swapf
- - tblrd
- - tblwt
- - tstfsz
- - xorlw
- - xorwf
+ - addlw
+ - addwf
+ - addwfc
+ - andlw
+ - andwf
+ - bc
+ - bcf
+ - bn
+ - bnc
+ - bnov
+ - bnz
+ - bov
+ - bra
+ - bsf
+ - btg
+ - bz
+ - btfsc
+ - btfss
+ - call
+ - clrf
+ - clrw
+ - clrwdt
+ - comf
+ - cpfseq
+ - cpfslt
+ - cpfsgt
+ - daw
+ - decf
+ - dcfsnz
+ - decfsz
+ - goto
+ - incf
+ - incfsz
+ - infsnz
+ - iorlw
+ - iorwf
+ - lfsr
+ - movf
+ - movff
+ - movlb
+ - movlw
+ - movwf
+ - mullw
+ - mulwf
+ - negf
+ - nop
+ - option
+ - pop
+ - push
+ - rcall
+ - reset
+ - retfie
+ - retlw
+ - return
+ - rlcf
+ - rlf
+ - rlncf
+ - rrcf
+ - rrf
+ - rrncf
+ - setf
+ - sleep
+ - subfwb
+ - sublw
+ - subwf
+ - subwfb
+ - swapf
+ - tblrd
+ - tblwt
+ - tstfsz
+ - xorlw
+ - xorwf
- - ADDLW
- - ADDWF
- - ADDWFC
- - ANDLW
- - ANDWF
- - BC
- - BCF
- - BN
- - BNC
- - BNOV
- - BNZ
- - BOV
- - BRA
- - BSF
- - BTG
- - BZ
- - BTFSC
- - BTFSS
- - CALL
- - CLRF
- - CLRW
- - CLRWDT
- - COMF
- - CPFSEQ
- - CPFSLT
- - CPFSGT
- - DAW
- - DCFSNZ
- - DECF
- - DECFSZ
- - GOTO
- - INCF
- - INCFSZ
- - INFSNZ
- - IORLW
- - IORWF
- - LFSR
- - MOVF
- - MOVFF
- - MOVLB
- - MOVLW
- - MOVWF
- - MULLW
- - MULWF
- - NEGF
- - NOP
- - OPTION
- - POP
- - PUSH
- - RCALL
- - RESET
- - RETFIE
- - RETLW
- - RETURN
- - RLCF
- - RLF
- - RLNCF
- - RRCF
- - RRF
- - RRNCF
- - SETF
- - SLEEP
- - SUBFWB
- - SUBLW
- - SUBWF
- - SUBWFB
- - SWAPF
- - TBLRD
- - TBLWT
- - TSTFSZ
- - XORLW
- - XORWF
+ - ADDLW
+ - ADDWF
+ - ADDWFC
+ - ANDLW
+ - ANDWF
+ - BC
+ - BCF
+ - BN
+ - BNC
+ - BNOV
+ - BNZ
+ - BOV
+ - BRA
+ - BSF
+ - BTG
+ - BZ
+ - BTFSC
+ - BTFSS
+ - CALL
+ - CLRF
+ - CLRW
+ - CLRWDT
+ - COMF
+ - CPFSEQ
+ - CPFSLT
+ - CPFSGT
+ - DAW
+ - DCFSNZ
+ - DECF
+ - DECFSZ
+ - GOTO
+ - INCF
+ - INCFSZ
+ - INFSNZ
+ - IORLW
+ - IORWF
+ - LFSR
+ - MOVF
+ - MOVFF
+ - MOVLB
+ - MOVLW
+ - MOVWF
+ - MULLW
+ - MULWF
+ - NEGF
+ - NOP
+ - OPTION
+ - POP
+ - PUSH
+ - RCALL
+ - RESET
+ - RETFIE
+ - RETLW
+ - RETURN
+ - RLCF
+ - RLF
+ - RLNCF
+ - RRCF
+ - RRF
+ - RRNCF
+ - SETF
+ - SLEEP
+ - SUBFWB
+ - SUBLW
+ - SUBWF
+ - SUBWFB
+ - SWAPF
+ - TBLRD
+ - TBLWT
+ - TSTFSZ
+ - XORLW
+ - XORWF
- - A
- - ACCESS
- - BANKED
- - W
- - F
+ - A
+ - ACCESS
+ - BANKED
+ - W
+ - F
@@ -299,7 +299,7 @@
-
+
@@ -382,7 +382,6 @@
-
diff --git a/kate/data/pike.xml b/kate/data/pike.xml
index eda28eaa1..5a111e260 100644
--- a/kate/data/pike.xml
+++ b/kate/data/pike.xml
@@ -4,39 +4,39 @@
- - break
- - case
- - class
- - continue
- - default
- - do
- - else
- - for
- - foreach
- - if
- - return
- - switch
- - while
+ - break
+ - case
+ - class
+ - continue
+ - default
+ - do
+ - else
+ - for
+ - foreach
+ - if
+ - return
+ - switch
+ - while
- - array
- - float
- - function
- - int
- - mapping
- - mixed
- - multiset>
- - object
- - program
- - static
- - string
- - void
+ - array
+ - float
+ - function
+ - int
+ - mapping
+ - mixed
+ - multiset>
+ - object
+ - program
+ - static
+ - string
+ - void
- - catch
- - gauge
- - sscanf
- - typeof
+ - catch
+ - gauge
+ - sscanf
+ - typeof
diff --git a/kate/data/postscript.xml b/kate/data/postscript.xml
index 4fcabbd7e..474167d3f 100644
--- a/kate/data/postscript.xml
+++ b/kate/data/postscript.xml
@@ -3,399 +3,399 @@
- - abs
- - add
- - aload
- - anchorsearch
- - and
- - arc
- - arcn
- - arct
- - arcto
- - array
- - ashow
- - astore
- - awidthshow
- - begin
- - bind
- - bitshift
- - ceiling
- - charpath
- - clear
- - cleartomark
- - clip
- - clippath
- - closepath
- - concat
- - concatmatrix
- - copy
- - count
- - counttomark
- - currentcmykcolor
- - currentdash
- - currentdict
- - currentfile
- - currentfont
- - currentgray
- - currentgstate
- - currenthsbcolor
- - currentlinecap
- - currentlinejoin
- - currentlinewidth
- - currentmatrix
- - currentpoint
- - currentrgbcolor
- - currentshared
- - curveto
- - cvi
- - cvlit
- - cvn
- - cvr
- - cvrs
- - cvs
- - cvx
- - def
- - defineusername
- - dict
- - div
- - dtransform
- - dup
- - end
- - eoclip
- - eofill
- - eoviewclip
- - eq
- - exch
- - exec
- - exit
- - file
- - fill
- - findfont
- - flattenpath
- - floor
- - flush
- - flushfile
- - for
- - forall
- - ge
- - get
- - getinterval
- - grestore
- - gsave
- - gstate
- - gt
- - identmatrix
- - idiv
- - idtransform
- - if
- - ifelse
- - image
- - imagemask
- - index
- - ineofill
- - infill
- - initviewclip
- - inueofill
- - inufill
- - invertmatrix
- - itransform
- - known
- - le
- - length
- - lineto
- - load
- - loop
- - lt
- - makefont
- - matrix
- - maxlength
- - mod
- - moveto
- - mul
- - ne
- - neg
- - newpath
- - not
- - null
- - or
- - pathbbox
- - pathforall
- - pop
- - print
- - printobject
- - put
- - putinterval
- - rcurveto
- - read
- - readhexstring
- - readline
- - readstring
- - rectclip
- - rectfill
- - rectstroke
- - rectviewclip
- - repeat
- - restore
- - rlineto
- - rmoveto
- - roll
- - rotate
- - round
- - save
- - scale
- - scalefont
- - search
- - selectfont
- - setbbox
- - setcachedevice
- - setcachedevice2
- - setcharwidth
- - setcmykcolor
- - setdash
- - setfont
- - setgray
- - setgstate
- - sethsbcolor
- - setlinecap
- - setlinejoin
- - setlinewidth
- - setmatrix
- - setrgbcolor
- - setshared
- - shareddict
- - show
- - showpage
- - stop
- - stopped
- - store
- - string
- - stringwidth
- - stroke
- - strokepath
- - sub
- - systemdict
- - token
- - transform
- - translate
- - truncate
- - type
- - uappend
- - ucache
- - ueofill
- - ufill
- - undef
- - upath
- - userdict
- - ustroke
- - viewclip
- - viewclippath
- - where
- - widthshow
- - write
- - writehexstring
- - writeobject
- - writestring
- - wtranslation
- - xor
- - xshow
- - xyshow
- - yshow
- - FontDirectory
- - SharedFontDirectory
- - Courier
- - Courier-Bold
- - Courier-BoldOblique
- - Courier-Oblique
- - Helvetica
- - Helvetica-Bold
- - Helvetica-BoldOblique
- - Helvetica-Oblique
- - Symbol
- - Times-Bold
- - Times-BoldItalic
- - Times-Italic
- - Times-Roman
- - execuserobject
- - currentcolor
- - currentcolorspace
- - currentglobal
- - execform
- - filter
- - findresource
- - globaldict
- - makepattern
- - setcolor
- - setcolorspace
- - setglobal
- - setpagedevice
- - setpattern
- - ISOLatin1Encoding
- - StandardEncoding
- - atan
- - banddevice
- - bytesavailable
- - cachestatus
- - closefile
- - colorimage
- - condition
- - copypage
- - cos
- - countdictstack
- - countexecstack
- - cshow
- - currentblackgeneration
- - currentcacheparams
- - currentcolorscreen
- - currentcolortransfer
- - currentcontext
- - currentflat
- - currenthalftone
- - currenthalftonephase
- - currentmiterlimit
- - currentobjectformat
- - currentpacking
- - currentscreen
- - currentstrokeadjust
- - currenttransfer
- - currentundercolorremoval
- - defaultmatrix
- - definefont
- - deletefile
- - detach
- - deviceinfo
- - dictstack
- - echo
- - erasepage
- - errordict
- - execstack
- - executeonly
- - exp
- - false
- - filenameforall
- - fileposition
- - fork
- - framedevice
- - grestoreall
- - handleerror
- - initclip
- - initgraphics
- - initmatrix
- - instroke
- - inustroke
- - join
- - kshow
- - ln
- - lock
- - log
- - mark
- - monitor
- - noaccess
- - notify
- - nulldevice
- - packedarray
- - quit
- - rand
- - rcheck
- - readonly
- - realtime
- - renamefile
- - renderbands
- - resetfile
- - reversepath
- - rootfont
- - rrand
- - run
- - scheck
- - setblackgeneration
- - setcachelimit
- - setcacheparams
- - setcolorscreen
- - setcolortransfer
- - setfileposition
- - setflat
- - sethalftone
- - sethalftonephase
- - setmiterlimit
- - setobjectformat
- - setpacking
- - setscreen
- - setstrokeadjust
- - settransfer
- - setucacheparams
- - setundercolorremoval
- - sin
- - sqrt
- - srand
- - stack
- - status
- - statusdict
- - true
- - ucachestatus
- - undefinefont
- - usertime
- - ustrokepath
- - version
- - vmreclaim
- - vmstatus
- - wait
- - wcheck
- - xcheck
- - yield
- - defineuserobject
- - undefineuserobject
- - UserObjects
- - cleardictstack
- - setvmthreshold
- - currentcolorrendering
- - currentdevparams
- - currentoverprint
- - currentpagedevice
- - currentsystemparams
- - currentuserparams
- - defineresource
- - findencoding
- - gcheck
- - glyphshow
- - languagelevel
- - product
- - pstack
- - resourceforall
- - resourcestatus
- - revision
- - serialnumber
- - setcolorrendering
- - setdevparams
- - setoverprint
- - setsystemparams
- - setuserparams
- - startjob
- - undefineresource
- - GlobalFontDirectory
- - ASCII85Decode
- - ASCII85Encode
- - ASCIIHexDecode
- - ASCIIHexEncode
- - CCITTFaxDecode
- - CCITTFaxEncode
- - DCTDecode
- - DCTEncode
- - LZWDecode
- - LZWEncode
- - NullEncode
- - RunLengthDecode
- - RunLengthEncode
- - SubFileDecode
- - CIEBasedA
- - CIEBasedABC
- - DeviceCMYK
- - DeviceGray
- - DeviceRGB
- - Indexed
- - Pattern
- - Separation
- - CIEBasedDEF
- - CIEBasedDEFG
- - DeviceN
+ - abs
+ - add
+ - aload
+ - anchorsearch
+ - and
+ - arc
+ - arcn
+ - arct
+ - arcto
+ - array
+ - ashow
+ - astore
+ - awidthshow
+ - begin
+ - bind
+ - bitshift
+ - ceiling
+ - charpath
+ - clear
+ - cleartomark
+ - clip
+ - clippath
+ - closepath
+ - concat
+ - concatmatrix
+ - copy
+ - count
+ - counttomark
+ - currentcmykcolor
+ - currentdash
+ - currentdict
+ - currentfile
+ - currentfont
+ - currentgray
+ - currentgstate
+ - currenthsbcolor
+ - currentlinecap
+ - currentlinejoin
+ - currentlinewidth
+ - currentmatrix
+ - currentpoint
+ - currentrgbcolor
+ - currentshared
+ - curveto
+ - cvi
+ - cvlit
+ - cvn
+ - cvr
+ - cvrs
+ - cvs
+ - cvx
+ - def
+ - defineusername
+ - dict
+ - div
+ - dtransform
+ - dup
+ - end
+ - eoclip
+ - eofill
+ - eoviewclip
+ - eq
+ - exch
+ - exec
+ - exit
+ - file
+ - fill
+ - findfont
+ - flattenpath
+ - floor
+ - flush
+ - flushfile
+ - for
+ - forall
+ - ge
+ - get
+ - getinterval
+ - grestore
+ - gsave
+ - gstate
+ - gt
+ - identmatrix
+ - idiv
+ - idtransform
+ - if
+ - ifelse
+ - image
+ - imagemask
+ - index
+ - ineofill
+ - infill
+ - initviewclip
+ - inueofill
+ - inufill
+ - invertmatrix
+ - itransform
+ - known
+ - le
+ - length
+ - lineto
+ - load
+ - loop
+ - lt
+ - makefont
+ - matrix
+ - maxlength
+ - mod
+ - moveto
+ - mul
+ - ne
+ - neg
+ - newpath
+ - not
+ - null
+ - or
+ - pathbbox
+ - pathforall
+ - pop
+ - print
+ - printobject
+ - put
+ - putinterval
+ - rcurveto
+ - read
+ - readhexstring
+ - readline
+ - readstring
+ - rectclip
+ - rectfill
+ - rectstroke
+ - rectviewclip
+ - repeat
+ - restore
+ - rlineto
+ - rmoveto
+ - roll
+ - rotate
+ - round
+ - save
+ - scale
+ - scalefont
+ - search
+ - selectfont
+ - setbbox
+ - setcachedevice
+ - setcachedevice2
+ - setcharwidth
+ - setcmykcolor
+ - setdash
+ - setfont
+ - setgray
+ - setgstate
+ - sethsbcolor
+ - setlinecap
+ - setlinejoin
+ - setlinewidth
+ - setmatrix
+ - setrgbcolor
+ - setshared
+ - shareddict
+ - show
+ - showpage
+ - stop
+ - stopped
+ - store
+ - string
+ - stringwidth
+ - stroke
+ - strokepath
+ - sub
+ - systemdict
+ - token
+ - transform
+ - translate
+ - truncate
+ - type
+ - uappend
+ - ucache
+ - ueofill
+ - ufill
+ - undef
+ - upath
+ - userdict
+ - ustroke
+ - viewclip
+ - viewclippath
+ - where
+ - widthshow
+ - write
+ - writehexstring
+ - writeobject
+ - writestring
+ - wtranslation
+ - xor
+ - xshow
+ - xyshow
+ - yshow
+ - FontDirectory
+ - SharedFontDirectory
+ - Courier
+ - Courier-Bold
+ - Courier-BoldOblique
+ - Courier-Oblique
+ - Helvetica
+ - Helvetica-Bold
+ - Helvetica-BoldOblique
+ - Helvetica-Oblique
+ - Symbol
+ - Times-Bold
+ - Times-BoldItalic
+ - Times-Italic
+ - Times-Roman
+ - execuserobject
+ - currentcolor
+ - currentcolorspace
+ - currentglobal
+ - execform
+ - filter
+ - findresource
+ - globaldict
+ - makepattern
+ - setcolor
+ - setcolorspace
+ - setglobal
+ - setpagedevice
+ - setpattern
+ - ISOLatin1Encoding
+ - StandardEncoding
+ - atan
+ - banddevice
+ - bytesavailable
+ - cachestatus
+ - closefile
+ - colorimage
+ - condition
+ - copypage
+ - cos
+ - countdictstack
+ - countexecstack
+ - cshow
+ - currentblackgeneration
+ - currentcacheparams
+ - currentcolorscreen
+ - currentcolortransfer
+ - currentcontext
+ - currentflat
+ - currenthalftone
+ - currenthalftonephase
+ - currentmiterlimit
+ - currentobjectformat
+ - currentpacking
+ - currentscreen
+ - currentstrokeadjust
+ - currenttransfer
+ - currentundercolorremoval
+ - defaultmatrix
+ - definefont
+ - deletefile
+ - detach
+ - deviceinfo
+ - dictstack
+ - echo
+ - erasepage
+ - errordict
+ - execstack
+ - executeonly
+ - exp
+ - false
+ - filenameforall
+ - fileposition
+ - fork
+ - framedevice
+ - grestoreall
+ - handleerror
+ - initclip
+ - initgraphics
+ - initmatrix
+ - instroke
+ - inustroke
+ - join
+ - kshow
+ - ln
+ - lock
+ - log
+ - mark
+ - monitor
+ - noaccess
+ - notify
+ - nulldevice
+ - packedarray
+ - quit
+ - rand
+ - rcheck
+ - readonly
+ - realtime
+ - renamefile
+ - renderbands
+ - resetfile
+ - reversepath
+ - rootfont
+ - rrand
+ - run
+ - scheck
+ - setblackgeneration
+ - setcachelimit
+ - setcacheparams
+ - setcolorscreen
+ - setcolortransfer
+ - setfileposition
+ - setflat
+ - sethalftone
+ - sethalftonephase
+ - setmiterlimit
+ - setobjectformat
+ - setpacking
+ - setscreen
+ - setstrokeadjust
+ - settransfer
+ - setucacheparams
+ - setundercolorremoval
+ - sin
+ - sqrt
+ - srand
+ - stack
+ - status
+ - statusdict
+ - true
+ - ucachestatus
+ - undefinefont
+ - usertime
+ - ustrokepath
+ - version
+ - vmreclaim
+ - vmstatus
+ - wait
+ - wcheck
+ - xcheck
+ - yield
+ - defineuserobject
+ - undefineuserobject
+ - UserObjects
+ - cleardictstack
+ - setvmthreshold
+ - currentcolorrendering
+ - currentdevparams
+ - currentoverprint
+ - currentpagedevice
+ - currentsystemparams
+ - currentuserparams
+ - defineresource
+ - findencoding
+ - gcheck
+ - glyphshow
+ - languagelevel
+ - product
+ - pstack
+ - resourceforall
+ - resourcestatus
+ - revision
+ - serialnumber
+ - setcolorrendering
+ - setdevparams
+ - setoverprint
+ - setsystemparams
+ - setuserparams
+ - startjob
+ - undefineresource
+ - GlobalFontDirectory
+ - ASCII85Decode
+ - ASCII85Encode
+ - ASCIIHexDecode
+ - ASCIIHexEncode
+ - CCITTFaxDecode
+ - CCITTFaxEncode
+ - DCTDecode
+ - DCTEncode
+ - LZWDecode
+ - LZWEncode
+ - NullEncode
+ - RunLengthDecode
+ - RunLengthEncode
+ - SubFileDecode
+ - CIEBasedA
+ - CIEBasedABC
+ - DeviceCMYK
+ - DeviceGray
+ - DeviceRGB
+ - Indexed
+ - Pattern
+ - Separation
+ - CIEBasedDEF
+ - CIEBasedDEFG
+ - DeviceN
diff --git a/kate/data/povray.xml b/kate/data/povray.xml
index 5594ca5bf..2ecc46468 100644
--- a/kate/data/povray.xml
+++ b/kate/data/povray.xml
@@ -6,917 +6,912 @@
-
+
- - aa_level
- - aa_threshold
- - abs
- - absorption
- - accuracy
- - acos
- - acosh
- - adaptive
- - adc_bailout
- - agate
- - agate_turb
- - albedo
- - all
- - all_intersections
- - alpha
- - altitude
- - always_sample
- - ambient
- - ambient_light
- - angle
- - aoi
- - aperture
- - append
- - arc_angle
- - area_illumination
- - area_light
- - array
- - asc
- - ascii
- - asin
- - asinh
- - assumed_gamma
- - atan
- - atan2
- - atand
- - atanh
- - autostop
- - average
- - background
- - bezier_spline
- - bicubic_patch
- - bitwise_and
- - bitwise_or
- - bitwise_xor
- - black_hole
- - blob
- - blue
- - blur_samples
- - bokeh
- - bounded_by
- - box
- - boxed
- - bozo
- - break
- - brick
- - brick_size
- - brightness
- - brilliance
- - b_spline
- - bump_map
- - bumps
- - bump_size
- - camera
- - case
- - caustics
- - ceil
- - cells
- - charset
- - checker
- - chr
- - circular
- - clipped_by
- - clock
- - clock_delta
- - clock_on
- - collect
- - color
- - color_map
- - colour
- - colour_map
- - component
- - composite
- - concat
- - cone
- - confidence
- - conic_sweep
- - conserve_energy
- - contained_by
- - control0
- - control1
- - coords
- - cos
- - cosh
- - count
- - crackle
- - crand
- - cube
- - cubic
- - cubic_spline
- - cubic_wave
- - cutaway_textures
- - cylinder
- - cylindrical
- - datetime
- - defined
- - degrees
- - density
- - density_file
- - density_map
- - dents
- - deprecated
- - df3
- - difference
- - diffuse
- - dimensions
- - dimension_size
- - direction
- - disc
- - dispersion
- - dispersion_samples
- - distance
- - distance_maximum
- - dist_exp
- - div
- - double_illuminate
- - eccentricity
- - emission
- - error_bound
- - evaluate
- - exp
- - expand_thresholds
- - exponent
- - exterior
- - extinction
- - face_indices
- - facets
- - fade_color
- - fade_colour
- - fade_distance
- - fade_power
- - falloff
- - falloff_angle
- - false
- - file_exists
- - filter
- - final_clock
- - final_frame
- - finish
- - fisheye
- - flatness
- - flip
- - floor
- - focal_point
- - fog
- - fog_alt
- - fog_offset
- - fog_type
- - for
- - form
- - frame_number
- - frequency
- - fresnel
- - function
- - gamma
- - gather
- - gif
- - global_lights
- - global_settings
- - gradient
- - granite
- - gray
- - gray_threshold
- - green
- - height_field
- - hexagon
- - hf_gray_16
- - hierarchy
- - hollow
- - hypercomplex
- - iff
- - image_height
- - image_map
- - image_pattern
- - image_width
- - importance
- - initial_clock
- - initial_frame
- - input_file_name
- - inside
- - inside_vector
- - int
- - interior
- - interior_texture
- - internal
- - interpolate
- - intersection
- - intervals
- - inverse
- - ior
- - irid
- - irid_wavelength
- - isosurface
- - jitter
- - jpeg
- - julia
- - julia_fractal
- - lambda
- - lathe
- - leopard
- - light_group
- - light_source
- - linear_spline
- - linear_sweep
- - ln
- - load_file
- - local
- - location
- - log
- - look_at
- - looks_like
- - low_error_factor
- - macro
- - magnet
- - major_radius
- - mandel
- - map_type
- - marble
- - material
- - material_map
- - matrix
- - max
- - max_extent
- - max_gradient
- - max_intersections
- - max_iteration
- - max_sample
- - max_trace
- - max_trace_level
- - maximum_reuse
- - media
- - media_attenuation
- - media_interaction
- - merge
- - mesh
- - mesh2
- - metallic
- - method
- - metric
- - min
- - min_extent
- - minimum_reuse
- - mm_per_unit
- - mod
- - mortar
- - natural_spline
- - nearest_count
- - no
- - no_bump_scale
- - no_image
- - no_radiosity
- - no_reflection
- - no_shadow
- - noise_generator
- - normal
- - normal_indices
- - normal_map
- - normal_vectors
- - now
- - number_of_waves
- - object
- - octaves
- - off
- - offset
- - omega
- - omnimax
- - on
- - once
- - onion
- - open
- - orient
- - orientation
- - orthographic
- - ovus
- - panoramic
- - parallel
- - parametric
- - pass_through
- - pattern
- - pavement
- - perspective
- - pgm
- - phase
- - phong
- - phong_size
- - photons
- - pi
- - pigment
- - pigment_map
- - pigment_pattern
- - planar
- - plane
- - png
- - point_at
- - poly
- - poly_wave
- - polygon
- - polynomial
- - pot
- - pow
- - ppm
- - precision
- - precompute
- - premultiplied
- - pretrace_end
- - pretrace_start
- - prism
- - prod
- - projected_through
- - pwr
- - quadratic_spline
- - quadric
- - quartic
- - quaternion
- - quick_color
- - quick_colour
- - quilted
- - radial
- - radians
- - radiosity
- - radius
- - rainbow
- - ramp_wave
- - rand
- - ratio
- - reciprocal
- - recursion_limit
- - red
- - reflection
- - reflection_exponent
- - refraction
- - repeat
- - rgb
- - rgbf
- - rgbft
- - rgbt
- - right
- - ripples
- - rotate
- - roughness
- - samples
- - save_file
- - scale
- - scallop_wave
- - scattering
- - seed
- - select
- - shadowless
- - sin
- - sine_wave
- - sinh
- - sint16be
- - sint16le
- - sint32be
- - sint32le
- - sint8
- - size
- - sky
- - sky_sphere
- - slice
- - slope
- - slope_map
- - smooth
- - smooth_triangle
- - solid
- - sor
- - spacing
- - specular
- - sphere
- - sphere_sweep
- - spherical
- - spiral1
- - spiral2
- - spline
- - split_union
- - spotlight
- - spotted
- - sqr
- - sqrt
- - square
- - srgb
- - srgbf
- - srgbft
- - srgbt
- - str
- - strcmp
- - strength
- - strlen
- - strlwr
- - strupr
- - sturm
- - substr
- - subsurface
- - sum
- - superellipsoid
- - sys
- - t
- - tan
- - tanh
- - target
- - text
- - texture
- - texture_list
- - texture_map
- - tga
- - thickness
- - threshold
- - tiff
- - tightness
- - tile2
- - tiles
- - tiling
- - tolerance
- - toroidal
- - torus
- - trace
- - transform
- - translate
- - translucency
- - transmit
- - triangle
- - triangle_wave
- - triangular
- - true
- - ttf
- - turb_depth
- - turbulence
- - type
- - u
- - uint16be
- - uint16le
- - uint8
- - ultra_wide_angle
- - union
- - up
- - use_alpha
- - use_color
- - use_colour
- - use_index
- - u_steps
- - utf8
- - uv_indices
- - uv_mapping
- - uv_vectors
- - v
- - val
- - variance
- - vaxis_rotate
- - vcross
- - vdot
- - vertex_vectors
- - vlength
- - vnormalize
- - vrotate
- - v_steps
- - vstr
- - vturbulence
- - warp
- - water_level
- - waves
- - width
- - wood
- - wrinkles
- - x
- - y
- - yes
- - z
+ - aa_level
+ - aa_threshold
+ - abs
+ - absorption
+ - accuracy
+ - acos
+ - acosh
+ - adaptive
+ - adc_bailout
+ - agate
+ - agate_turb
+ - albedo
+ - all
+ - all_intersections
+ - alpha
+ - altitude
+ - always_sample
+ - ambient
+ - ambient_light
+ - angle
+ - aoi
+ - aperture
+ - append
+ - arc_angle
+ - area_illumination
+ - area_light
+ - array
+ - asc
+ - ascii
+ - asin
+ - asinh
+ - assumed_gamma
+ - atan
+ - atan2
+ - atand
+ - atanh
+ - autostop
+ - average
+ - background
+ - bezier_spline
+ - bicubic_patch
+ - bitwise_and
+ - bitwise_or
+ - bitwise_xor
+ - black_hole
+ - blob
+ - blue
+ - blur_samples
+ - bokeh
+ - bounded_by
+ - box
+ - boxed
+ - bozo
+ - break
+ - brick
+ - brick_size
+ - brightness
+ - brilliance
+ - b_spline
+ - bump_map
+ - bumps
+ - bump_size
+ - camera
+ - case
+ - caustics
+ - ceil
+ - cells
+ - charset
+ - checker
+ - chr
+ - circular
+ - clipped_by
+ - clock
+ - clock_delta
+ - clock_on
+ - collect
+ - color
+ - color_map
+ - colour
+ - colour_map
+ - component
+ - composite
+ - concat
+ - cone
+ - confidence
+ - conic_sweep
+ - conserve_energy
+ - contained_by
+ - control0
+ - control1
+ - coords
+ - cos
+ - cosh
+ - count
+ - crackle
+ - crand
+ - cube
+ - cubic
+ - cubic_spline
+ - cubic_wave
+ - cutaway_textures
+ - cylinder
+ - cylindrical
+ - datetime
+ - defined
+ - degrees
+ - density
+ - density_file
+ - density_map
+ - dents
+ - deprecated
+ - df3
+ - difference
+ - diffuse
+ - dimensions
+ - dimension_size
+ - direction
+ - disc
+ - dispersion
+ - dispersion_samples
+ - distance
+ - distance_maximum
+ - dist_exp
+ - div
+ - double_illuminate
+ - eccentricity
+ - emission
+ - error_bound
+ - evaluate
+ - exp
+ - expand_thresholds
+ - exponent
+ - exterior
+ - extinction
+ - face_indices
+ - facets
+ - fade_color
+ - fade_colour
+ - fade_distance
+ - fade_power
+ - falloff
+ - falloff_angle
+ - false
+ - file_exists
+ - filter
+ - final_clock
+ - final_frame
+ - finish
+ - fisheye
+ - flatness
+ - flip
+ - floor
+ - focal_point
+ - fog
+ - fog_alt
+ - fog_offset
+ - fog_type
+ - for
+ - form
+ - frame_number
+ - frequency
+ - fresnel
+ - function
+ - gamma
+ - gather
+ - gif
+ - global_lights
+ - global_settings
+ - gradient
+ - granite
+ - gray
+ - gray_threshold
+ - green
+ - height_field
+ - hexagon
+ - hf_gray_16
+ - hierarchy
+ - hollow
+ - hypercomplex
+ - iff
+ - image_height
+ - image_map
+ - image_pattern
+ - image_width
+ - importance
+ - initial_clock
+ - initial_frame
+ - input_file_name
+ - inside
+ - inside_vector
+ - int
+ - interior
+ - interior_texture
+ - internal
+ - interpolate
+ - intersection
+ - intervals
+ - inverse
+ - ior
+ - irid
+ - irid_wavelength
+ - isosurface
+ - jitter
+ - jpeg
+ - julia
+ - julia_fractal
+ - lambda
+ - lathe
+ - leopard
+ - light_group
+ - light_source
+ - linear_spline
+ - linear_sweep
+ - ln
+ - load_file
+ - local
+ - location
+ - log
+ - look_at
+ - looks_like
+ - low_error_factor
+ - macro
+ - magnet
+ - major_radius
+ - mandel
+ - map_type
+ - marble
+ - material
+ - material_map
+ - matrix
+ - max
+ - max_extent
+ - max_gradient
+ - max_intersections
+ - max_iteration
+ - max_sample
+ - max_trace
+ - max_trace_level
+ - maximum_reuse
+ - media
+ - media_attenuation
+ - media_interaction
+ - merge
+ - mesh
+ - mesh2
+ - metallic
+ - method
+ - metric
+ - min
+ - min_extent
+ - minimum_reuse
+ - mm_per_unit
+ - mod
+ - mortar
+ - natural_spline
+ - nearest_count
+ - no
+ - no_bump_scale
+ - no_image
+ - no_radiosity
+ - no_reflection
+ - no_shadow
+ - noise_generator
+ - normal
+ - normal_indices
+ - normal_map
+ - normal_vectors
+ - now
+ - number_of_waves
+ - object
+ - octaves
+ - off
+ - offset
+ - omega
+ - omnimax
+ - on
+ - once
+ - onion
+ - open
+ - orient
+ - orientation
+ - orthographic
+ - ovus
+ - panoramic
+ - parallel
+ - parametric
+ - pass_through
+ - pattern
+ - pavement
+ - perspective
+ - pgm
+ - phase
+ - phong
+ - phong_size
+ - photons
+ - pi
+ - pigment
+ - pigment_map
+ - pigment_pattern
+ - planar
+ - plane
+ - png
+ - point_at
+ - poly
+ - poly_wave
+ - polygon
+ - polynomial
+ - pot
+ - pow
+ - ppm
+ - precision
+ - precompute
+ - premultiplied
+ - pretrace_end
+ - pretrace_start
+ - prism
+ - prod
+ - projected_through
+ - pwr
+ - quadratic_spline
+ - quadric
+ - quartic
+ - quaternion
+ - quick_color
+ - quick_colour
+ - quilted
+ - radial
+ - radians
+ - radiosity
+ - radius
+ - rainbow
+ - ramp_wave
+ - rand
+ - ratio
+ - reciprocal
+ - recursion_limit
+ - red
+ - reflection
+ - reflection_exponent
+ - refraction
+ - repeat
+ - rgb
+ - rgbf
+ - rgbft
+ - rgbt
+ - right
+ - ripples
+ - rotate
+ - roughness
+ - samples
+ - save_file
+ - scale
+ - scallop_wave
+ - scattering
+ - seed
+ - select
+ - shadowless
+ - sin
+ - sine_wave
+ - sinh
+ - sint16be
+ - sint16le
+ - sint32be
+ - sint32le
+ - sint8
+ - size
+ - sky
+ - sky_sphere
+ - slice
+ - slope
+ - slope_map
+ - smooth
+ - smooth_triangle
+ - solid
+ - sor
+ - spacing
+ - specular
+ - sphere
+ - sphere_sweep
+ - spherical
+ - spiral1
+ - spiral2
+ - spline
+ - split_union
+ - spotlight
+ - spotted
+ - sqr
+ - sqrt
+ - square
+ - srgb
+ - srgbf
+ - srgbft
+ - srgbt
+ - str
+ - strcmp
+ - strength
+ - strlen
+ - strlwr
+ - strupr
+ - sturm
+ - substr
+ - subsurface
+ - sum
+ - superellipsoid
+ - sys
+ - t
+ - tan
+ - tanh
+ - target
+ - text
+ - texture
+ - texture_list
+ - texture_map
+ - tga
+ - thickness
+ - threshold
+ - tiff
+ - tightness
+ - tile2
+ - tiles
+ - tiling
+ - tolerance
+ - toroidal
+ - torus
+ - trace
+ - transform
+ - translate
+ - translucency
+ - transmit
+ - triangle
+ - triangle_wave
+ - triangular
+ - true
+ - ttf
+ - turb_depth
+ - turbulence
+ - type
+ - u
+ - uint16be
+ - uint16le
+ - uint8
+ - ultra_wide_angle
+ - union
+ - up
+ - use_alpha
+ - use_color
+ - use_colour
+ - use_index
+ - u_steps
+ - utf8
+ - uv_indices
+ - uv_mapping
+ - uv_vectors
+ - v
+ - val
+ - variance
+ - vaxis_rotate
+ - vcross
+ - vdot
+ - vertex_vectors
+ - vlength
+ - vnormalize
+ - vrotate
+ - v_steps
+ - vstr
+ - vturbulence
+ - warp
+ - water_level
+ - waves
+ - width
+ - wood
+ - wrinkles
+ - x
+ - y
+ - yes
+ - z
- - akima_spline
- - attach
- - basic_x_spline
- - bias
- - blur
- - bounding
- - camera_view
- - collision
- - connection_count
- - connection
- - continuity
- - current_chrono
- - damping
- - date
- - displace
- - element
- - end_time
- - environment
- - exposure_gain
- - exposure
- - extended_x_spline
- - face_count
- - face
- - field
- - fixed
- - float
- - force
- - frame_step
- - friction
- - general_x_spline
- - glow
- - gravity
- - group
- - h_align_center
- - h_align_left
- - h_align_right
- - hdr
- - index1
- - index2
- - index3
- - input
- - interaction
- - internal_collision
- - inverted_normals
- - is
- - iterations
- - length
- - listed
- - mass
- - mass_count
- - mechsim
- - mesh_output
- - message
- - motion_blur
- - mpeg
- - n_roots
- - neighbors
- - neighbours
- - no_radiosity
- - noise_pigment
- - output
- - output_filename
- - point
- - position
- - post_process
- - projection
- - randomize
- - set
- - show_low_count
- - show_samples
- - simcloth
- - smooth_mesh
- - sor_spline
- - start_chrono
- - start_time
- - step_count
- - stiffness
- - string
- - structure
- - tcb_spline
- - tension
- - time
- - time_step
- - tone_mapping
- - topology
- - unofficial_version
- - user_defined
- - uv_mesh
- - v_align_bottom
- - v_align_center
- - v_align_top
- - vector
- - velocity
- - viscoelastic_count
- - viscoelastic
- - viscosity
- - weight
- - wind
+ - akima_spline
+ - attach
+ - basic_x_spline
+ - bias
+ - blur
+ - bounding
+ - camera_view
+ - collision
+ - connection_count
+ - connection
+ - continuity
+ - current_chrono
+ - damping
+ - date
+ - displace
+ - element
+ - end_time
+ - environment
+ - exposure_gain
+ - exposure
+ - extended_x_spline
+ - face_count
+ - face
+ - field
+ - fixed
+ - float
+ - force
+ - frame_step
+ - friction
+ - general_x_spline
+ - glow
+ - gravity
+ - group
+ - h_align_center
+ - h_align_left
+ - h_align_right
+ - hdr
+ - index1
+ - index2
+ - index3
+ - input
+ - interaction
+ - internal_collision
+ - inverted_normals
+ - is
+ - iterations
+ - length
+ - listed
+ - mass
+ - mass_count
+ - mechsim
+ - mesh_output
+ - message
+ - motion_blur
+ - mpeg
+ - n_roots
+ - neighbors
+ - neighbours
+ - no_radiosity
+ - noise_pigment
+ - output
+ - output_filename
+ - point
+ - position
+ - post_process
+ - projection
+ - randomize
+ - set
+ - show_low_count
+ - show_samples
+ - simcloth
+ - smooth_mesh
+ - sor_spline
+ - start_chrono
+ - start_time
+ - step_count
+ - stiffness
+ - string
+ - structure
+ - tcb_spline
+ - tension
+ - time
+ - time_step
+ - tone_mapping
+ - topology
+ - unofficial_version
+ - user_defined
+ - uv_mesh
+ - v_align_bottom
+ - v_align_center
+ - v_align_top
+ - vector
+ - velocity
+ - viscoelastic_count
+ - viscoelastic
+ - viscosity
+ - weight
+ - wind
- - background
- - bicubic_patch
- - blob
- - box
- - camera
- - cone
- - cubic
- - cylinder
- - difference
- - disc
- - height_field
- - intersection
- - isosurface
- - julia_fractal
- - lathe
- - light_group
- - light_source
- - merge
- - mesh
- - mesh2
- - object
- - ovus
- - parametric
- - plane
- - poly
- - polygon
- - polynomial
- - prism
- - quadric
- - quartic
- - rainbow
- - sky_sphere
- - smooth_triangle
- - sor
- - sphere
- - sphere_sweep
- - superellipsoid
- - text
- - torus
- - triangle
- - union
+ - background
+ - bicubic_patch
+ - blob
+ - box
+ - camera
+ - cone
+ - cubic
+ - cylinder
+ - difference
+ - disc
+ - height_field
+ - intersection
+ - isosurface
+ - julia_fractal
+ - lathe
+ - light_group
+ - light_source
+ - merge
+ - mesh
+ - mesh2
+ - object
+ - ovus
+ - parametric
+ - plane
+ - poly
+ - polygon
+ - polynomial
+ - prism
+ - quadric
+ - quartic
+ - rainbow
+ - sky_sphere
+ - smooth_triangle
+ - sor
+ - sphere
+ - sphere_sweep
+ - superellipsoid
+ - text
+ - torus
+ - triangle
+ - union
- - aa_threshold
- - aa_level
- - absorption
- - agate
- - agate_turb
- - ambient
- - aoi
- - average
- - black_hole
- - blue
- - boxed
- - brick
- - brick_size
- - brilliance
- - bump_map
- - bumps
- - bump_size
- - caustics
- - cells
- - checker
- - color
- - color_map
- - colour
- - colour_map
- - conserve_energy
- - control0
- - control1
- - crackle
- - crand
- - cubic_wave
- - cutaway_textures
- - cylindrical
- - density_file
- - density
- - density_map
- - dents
- - diffuse
- - dist_exp
- - double_illuminate
- - eccentricity
- - emission
- - exponent
- - exterior
- - extinction
- - facets
- - fade_color
- - fade_colour
- - fade_distance
- - fade_power
- - filter
- - finish
- - form
- - frequency
- - fresnel
- - gradient
- - granite
- - gray
- - green
- - hexagon
- - hypercomplex
- - image_map
- - image_pattern
- - interior
- - interior_texture
- - interpolate
- - intervals
- - ior
- - irid
- - irid_wavelength
- - julia
- - lambda
- - leopard
- - magnet
- - mandel
- - map_type
- - marble
- - material
- - material_map
- - media
- - metallic
- - method
- - metric
- - mortar
- - no_bump_scale
- - normal
- - normal_map
- - number_of_waves
- - octaves
- - omega
- - once
- - onion
- - orientation
- - phase
- - phong
- - phong_size
- - photons
- - pigment
- - pigment_map
- - pigment_pattern
- - planar
- - quaternion
- - quick_color
- - quick_colour
- - quilted
- - radial
- - ramp_wave
- - red
- - reflection_exponent
- - reflection
- - repeat
- - rgb
- - rgbf
- - rgbft
- - rgbt
- - ripples
- - roughness
- - samples
- - scallop_wave
- - scattering
- - sine_wave
- - slope
- - slope_map
- - solid
- - specular
- - spherical
- - spiral1
- - spiral2
- - spotted
- - texture
- - texture_list
- - texture_map
- - tile2
- - tiles
- - toroidal
- - transmit
- - triangle_wave
- - turb_depth
- - turbulence
- - use_alpha
- - use_color
- - use_colour
- - use_index
- - uv_mapping
- - warp
- - waves
- - wood
- - wrinkles
+ - aa_threshold
+ - aa_level
+ - absorption
+ - agate
+ - agate_turb
+ - ambient
+ - aoi
+ - average
+ - black_hole
+ - blue
+ - boxed
+ - brick
+ - brick_size
+ - brilliance
+ - bump_map
+ - bumps
+ - bump_size
+ - caustics
+ - cells
+ - checker
+ - color
+ - color_map
+ - colour
+ - colour_map
+ - conserve_energy
+ - control0
+ - control1
+ - crackle
+ - crand
+ - cubic_wave
+ - cutaway_textures
+ - cylindrical
+ - density_file
+ - density
+ - density_map
+ - dents
+ - diffuse
+ - dist_exp
+ - double_illuminate
+ - eccentricity
+ - emission
+ - exponent
+ - exterior
+ - extinction
+ - facets
+ - fade_color
+ - fade_colour
+ - fade_distance
+ - fade_power
+ - filter
+ - finish
+ - form
+ - frequency
+ - fresnel
+ - gradient
+ - granite
+ - gray
+ - green
+ - hexagon
+ - hypercomplex
+ - image_map
+ - image_pattern
+ - interior
+ - interior_texture
+ - interpolate
+ - intervals
+ - ior
+ - irid
+ - irid_wavelength
+ - julia
+ - lambda
+ - leopard
+ - magnet
+ - mandel
+ - map_type
+ - marble
+ - material
+ - material_map
+ - media
+ - metallic
+ - method
+ - metric
+ - mortar
+ - no_bump_scale
+ - normal
+ - normal_map
+ - number_of_waves
+ - octaves
+ - omega
+ - once
+ - onion
+ - orientation
+ - phase
+ - phong
+ - phong_size
+ - photons
+ - pigment
+ - pigment_map
+ - pigment_pattern
+ - planar
+ - quaternion
+ - quick_color
+ - quick_colour
+ - quilted
+ - radial
+ - ramp_wave
+ - red
+ - reflection_exponent
+ - reflection
+ - repeat
+ - rgb
+ - rgbf
+ - rgbft
+ - rgbt
+ - ripples
+ - roughness
+ - samples
+ - scallop_wave
+ - scattering
+ - sine_wave
+ - slope
+ - slope_map
+ - solid
+ - specular
+ - spherical
+ - spiral1
+ - spiral2
+ - spotted
+ - texture
+ - texture_list
+ - texture_map
+ - tile2
+ - tiles
+ - toroidal
+ - transmit
+ - triangle_wave
+ - turb_depth
+ - turbulence
+ - use_alpha
+ - use_color
+ - use_colour
+ - use_index
+ - uv_mapping
+ - warp
+ - waves
+ - wood
+ - wrinkles
- - matrix
- - rotate
- - scale
- - transform
- - translate
+ - matrix
+ - rotate
+ - scale
+ - transform
+ - translate
- - df3
- - gif
- - iff
- - jpeg
- - pgm
- - png
- - pot
- - ppm
- - tga
- - tiff
- - ttf
+ - df3
+ - gif
+ - iff
+ - jpeg
+ - pgm
+ - png
+ - pot
+ - ppm
+ - tga
+ - tiff
+ - ttf
- - clock
- - clock_delta
- - clock_on
- - final_clock
- - final_frame
- - frame_number
- - image_height
- - image_width
- - initial_clock
- - initial_frame
- - t
- - u
- - v
- - x
- - y
- - z
+ - clock
+ - clock_delta
+ - clock_on
+ - final_clock
+ - final_frame
+ - frame_number
+ - image_height
+ - image_width
+ - initial_clock
+ - initial_frame
+ - t
+ - u
+ - v
+ - x
+ - y
+ - z
- - false
- - no
- - off
- - on
- - pi
- - true
- - yes
+ - false
+ - no
+ - off
+ - on
+ - pi
+ - true
+ - yes
- - abs
- - acos
- - acosh
- - asc
- - asin
- - asinh
- - atan
- - atan2
- - atanh
- - bitwise_and
- - bitwise_or
- - bitwise_xor
- - ceil
- - chr
- - concat
- - cos
- - cosh
- - cube
- - defined
- - degrees
- - dimension_size
- - dimensions
- - div
- - exp
- - file_exists
- - floor
- - inside
- - int
- - ln
- - log
- - max
- - min
- - mod
- - pow
- - prod
- - pwr
- - radians
- - rand
- - seed
- - select
- - sin
- - sinh
- - sqrt
- - str
- - strcmp
- - strlen
- - strlwr
- - strupr
- - substr
- - sum
- - tan
- - tanh
- - trace
- - val
- - vaxis_rotate
- - vcross
- - vdot
- - vlength
- - vnormalize
- - vrotate
- - vstr
- - vturbulence
+ - abs
+ - acos
+ - acosh
+ - asc
+ - asin
+ - asinh
+ - atan
+ - atan2
+ - atanh
+ - bitwise_and
+ - bitwise_or
+ - bitwise_xor
+ - ceil
+ - chr
+ - concat
+ - cos
+ - cosh
+ - cube
+ - defined
+ - degrees
+ - dimension_size
+ - dimensions
+ - div
+ - exp
+ - file_exists
+ - floor
+ - inside
+ - int
+ - ln
+ - log
+ - max
+ - min
+ - mod
+ - pow
+ - prod
+ - pwr
+ - radians
+ - rand
+ - seed
+ - select
+ - sin
+ - sinh
+ - sqrt
+ - str
+ - strcmp
+ - strlen
+ - strlwr
+ - strupr
+ - substr
+ - sum
+ - tan
+ - tanh
+ - trace
+ - val
+ - vaxis_rotate
+ - vcross
+ - vdot
+ - vlength
+ - vnormalize
+ - vrotate
+ - vstr
+ - vturbulence
- - #debug
- - #default
- - #else
- - #elseif
- - #end
- - #error
- - #fclose
- - #fopen
- - #if
- - #ifdef
- - #ifndef
- - #include
- - #range
- - #read
- - #render
- - #statistics
- - #switch
- - #undef
- - #version
- - #warning
- - #while
- - #write
-
-
- - FIXME
- - TODO
- - ###
+ - #debug
+ - #default
+ - #else
+ - #elseif
+ - #end
+ - #error
+ - #fclose
+ - #fopen
+ - #if
+ - #ifdef
+ - #ifndef
+ - #include
+ - #range
+ - #read
+ - #render
+ - #statistics
+ - #switch
+ - #undef
+ - #version
+ - #warning
+ - #while
+ - #write
@@ -975,17 +970,13 @@
-
+
-
+
-
-
-
-
diff --git a/kate/data/ppd.xml b/kate/data/ppd.xml
index d5d2268aa..a04951285 100644
--- a/kate/data/ppd.xml
+++ b/kate/data/ppd.xml
@@ -1,4 +1,4 @@
-
+
@@ -9,7 +9,7 @@ The following documentation is available for PPD:
- CUPS PPD Extensions: http://www.cups.org/documentation.php/doc-1.4/spec-ppd.html
-->
-
+
diff --git a/kate/data/praat.xml b/kate/data/praat.xml
index 39084ba2f..e6d0bff95 100644
--- a/kate/data/praat.xml
+++ b/kate/data/praat.xml
@@ -21,7 +21,7 @@
the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor,
Boston, MA 02110-1301, USA.
-->
-
+
- assert
diff --git a/kate/data/progress.xml b/kate/data/progress.xml
index 46a105c35..c467ae170 100644
--- a/kate/data/progress.xml
+++ b/kate/data/progress.xml
@@ -1,1575 +1,1575 @@
-
+
- - CHAR
- - CHARACTER
- - COM-HANDLE
- - DECI
- - DECIMAL
- - DATE
- - INTE
- - INTEGER
- - ROWID
- - RECID
- - LOGI
- - LONGCHAR
- - LOGICAL
- - HANDLE
- - WIDGET-HANDLE
- - RAW
- - LONG
- - BYTE
- - SHORT
- - BLOB
- - UNSIGNED-SHORT
- - FLOAT
- - DOUBLE
- - CLOB
- - LONGCHAR
- - DATETIME
- - DATETIME-TZ
- - TEMP-TABLE
- - DATASET
- - DATASET-HANDLE
- - BUFFER
- - STREAM
- - SAX-WRITER
- - MEMPTR
+ - CHAR
+ - CHARACTER
+ - COM-HANDLE
+ - DECI
+ - DECIMAL
+ - DATE
+ - INTE
+ - INTEGER
+ - ROWID
+ - RECID
+ - LOGI
+ - LONGCHAR
+ - LOGICAL
+ - HANDLE
+ - WIDGET-HANDLE
+ - RAW
+ - LONG
+ - BYTE
+ - SHORT
+ - BLOB
+ - UNSIGNED-SHORT
+ - FLOAT
+ - DOUBLE
+ - CLOB
+ - LONGCHAR
+ - DATETIME
+ - DATETIME-TZ
+ - TEMP-TABLE
+ - DATASET
+ - DATASET-HANDLE
+ - BUFFER
+ - STREAM
+ - SAX-WRITER
+ - MEMPTR
- - AND
- - OR
- - NOT
- - BEGINS
- - MATCHES
- - MODULO
- - EQ
- - NE
- - LT
- - GT
- - GE
- - LE
- - FALSE
- - TRUE
- - YES
- - NO
+ - AND
+ - OR
+ - NOT
+ - BEGINS
+ - MATCHES
+ - MODULO
+ - EQ
+ - NE
+ - LT
+ - GT
+ - GE
+ - LE
+ - FALSE
+ - TRUE
+ - YES
+ - NO
- - OUT
- - BATCH-MODE
- - FILE-NAME
- - GLOBAL-DEFINE
- - LINE-NUMBER
- - OPSYS
- - ENDIF
- - SCOPED-DEFINE
- - SEQUENCE
- - UNDEFINE
- - WINDOW-SYSTEM
- - ANALYZE-RESUME
- - ANALYZE-SUSPEND
- - WEBSTREAM
+ - OUT
+ - BATCH-MODE
+ - FILE-NAME
+ - GLOBAL-DEFINE
+ - LINE-NUMBER
+ - OPSYS
+ - ENDIF
+ - SCOPED-DEFINE
+ - SEQUENCE
+ - UNDEFINE
+ - WINDOW-SYSTEM
+ - ANALYZE-RESUME
+ - ANALYZE-SUSPEND
+ - WEBSTREAM
- - VOID
- - IMPLEMENTS
- - OVERRIDE
- - FINAL
- - INHERITS
- - AS
- - WORD-INDEX
- - LIKE
- - ALERT-BOX
- - AT
- - COLOR
- - COMBO-BOX
- - EDITOR
- - FORMAT
- - FRAME
- - IMAGE
- - ENDKEY
- - ERROR
- - QUIT
- - STOP
- - PRESELECT
- - QUERY-TUNING
- - RADIO-SET
- - RECORD
- - SELECTION-LIST
- - SIZE
- - SLIDER
- - TRIGGER
- - WIDGET
- - VIEW-AS
- - NO-UNDO
- - NO-ERROR
- - OUT
- - WHERE
- - GLOBAL
- - SHARED
- - DEFINED
- - EXCLUSIVE-LOCK
- - SHARE-LOCK
- - NO-LOCK
- - EACH
- - FIRST
- - PREV
- - LAST
- - SKIP
- - FORWARD
- - UNIQUE
- - UNFORMATTED
- - APPEND
- - IN
- - OF
- - BEFORE-HIDE
- - NO-BOX
- - NO-UNDERLINE
- - KEEP-TAB-ORDER
- - UNBUFFERED
- - BINARY
- - NO-CONVERT
- - CONVERT
- - TARGET
- - NO-FILL
- - BY
- - NO-LABEL
- - GROUP
- - BREAK
- - COLON-ALIGNED
- - WITH
- - NO-LABELS
- - NO-PAUSE
- - NO-MESSAGE
- - NO-ECHO
- - NO-MAP
- - PRIVATE
- - PUBLIC
- - PROTECTED
+ - VOID
+ - IMPLEMENTS
+ - OVERRIDE
+ - FINAL
+ - INHERITS
+ - AS
+ - WORD-INDEX
+ - LIKE
+ - ALERT-BOX
+ - AT
+ - COLOR
+ - COMBO-BOX
+ - EDITOR
+ - FORMAT
+ - FRAME
+ - IMAGE
+ - ENDKEY
+ - ERROR
+ - QUIT
+ - STOP
+ - PRESELECT
+ - QUERY-TUNING
+ - RADIO-SET
+ - RECORD
+ - SELECTION-LIST
+ - SIZE
+ - SLIDER
+ - TRIGGER
+ - WIDGET
+ - VIEW-AS
+ - NO-UNDO
+ - NO-ERROR
+ - OUT
+ - WHERE
+ - GLOBAL
+ - SHARED
+ - DEFINED
+ - EXCLUSIVE-LOCK
+ - SHARE-LOCK
+ - NO-LOCK
+ - EACH
+ - FIRST
+ - PREV
+ - LAST
+ - SKIP
+ - FORWARD
+ - UNIQUE
+ - UNFORMATTED
+ - APPEND
+ - IN
+ - OF
+ - BEFORE-HIDE
+ - NO-BOX
+ - NO-UNDERLINE
+ - KEEP-TAB-ORDER
+ - UNBUFFERED
+ - BINARY
+ - NO-CONVERT
+ - CONVERT
+ - TARGET
+ - NO-FILL
+ - BY
+ - NO-LABEL
+ - GROUP
+ - BREAK
+ - COLON-ALIGNED
+ - WITH
+ - NO-LABELS
+ - NO-PAUSE
+ - NO-MESSAGE
+ - NO-ECHO
+ - NO-MAP
+ - PRIVATE
+ - PUBLIC
+ - PROTECTED
- - ROW-UPDATE
- - VALID-OBJECT
- - TYPE-OF
- - CAST
- - AUDIT-ENABLED
- - GENERATE-UUID
- - GUID
- - HEX-DECODE
- - HEX-ENCODE
- - SET-DB-CLIENT
- - output-content-type
- - get-value
- - get-cgi
- - get-field
- - html-encode
- - url-encode
- - ABSOLUTE
- - ACCUM
- - ADD-INTERVAL
- - ALIAS
- - AMBIGUOUS
- - ASC
- - AVAILABLE
- - BASE64-DECODE
- - BASE64-ENCODE
- - CAN-DO
- - CAN-FIND
- - CAN-QUERY
- - CAN-SET
- - CAPS
- - CHR
- - CODEPAGE-CONVERT
- - COMPARE
- - CONNECTED
- - COUNT-OF
- - CURRENT-CHANGED
- - CURRENT-LANGUAGE
- - CURRENT-RESULT-ROW
- - CURRENT-VALUE
- - DATASERVERS
- - DATA-SOURCE-MODIFIED
- - DATE
- - DATETIME
- - DATETIME-TZ
- - DAY
- - DBCODEPAGE
- - DBCOLLATION
- - DBNAME
- - DBPARAM
- - DBRESTRICTIONS
- - DBTASKID
- - DBTYPE
- - DBVERSION
- - DECIMAL
- - DECRYPT
- - DYNAMIC-CURRENT-VALUE
- - DYNAMIC-FUNCTION
- - DYNAMIC-NEXT-VALUE
- - ENCODE
- - ENCRYPT
- - ENTERED
- - ENTRY
- - ERROR
- - ETIME
- - EXP
- - EXTENT
- - FILL
- - FIRST
- - FIRST-OF
- - FIX-CODEPAGE
- - FRAME-COL
- - FRAME-DB
- - FRAME-DOWN
- - FRAME-FIELD
- - FRAME-FILE
- - FRAME-INDEX
- - FRAME-LINE
- - FRAME-NAME
- - FRAME-ROW
- - FRAME-VALUE
- - GENERATE-PBE-KEY
- - GENERATE-PBE-SALT
- - GENERATE-RANDOM-KEY
- - GET-BITS
- - GET-BYTE
- - GET-BYTE-ORDER
- - GET-BYTES
- - GET-CODEPAGE
- - GET-CODEPAGES
- - GET-COLLATION
- - GET-COLLATIONS
- - GET-DOUBLE
- - GET-FLOAT
- - GET-LONG
- - GET-POINTER-VALUE
- - GET-SHORT
- - GET-SIZE
- - GET-STRING
- - GET-UNSIGNED-SHORT
- - INDEX
- - INTEGER
- - INTERVAL
- - IS-CODEPAGE-FIXED
- - IS-COLUMN-CODEPAGE
- - IS-LEAD-BYTE
- - ISO-DATE
- - KBLABEL
- - KEYCODE
- - KEYFUNCTION
- - KEYLABEL
- - KEYWORD
- - KEYWORD-ALL
- - LAST
- - LASTKEY
- - LAST-OF
- - LC
- - LDBNAME
- - LEFT-TRIM
- - LENGTH
- - LIBRARY
- - LINE-COUNTER
- - LIST-EVENTS
- - LIST-QUERY-ATTRS
- - LIST-SET-ATTRS
- - LIST-WIDGETS
- - LOCKED
- - LOG
- - LOGICAL
- - LOOKUP
- - MAXIMUM
- - MD5-DIGEST
- - MEMBER
- - MESSAGE-LINES
- - MINIMUM
- - MONTH
- - MTIME
- - NEW
- - NEXT-VALUE
- - NORMALIZE
- - NOT ENTERED
- - NOW
- - NUM-ALIASES
- - NUM-DBS
- - NUM-ENTRIES
- - NUM-RESULTS
- - OPSYS
- - OS-DRIVES
- - OS-ERROR
- - OS-GETENV
- - PAGE-NUMBER
- - PAGE-SIZE
- - PDBNAME
- - PROC-HANDLE
- - PROC-STATUS
- - PROGRAM-NAME
- - PROGRESS
- - PROMSGS
- - PROPATH
- - PROVERSION
- - QUERY-OFF-END
- - QUOTER
- - RANDOM
- - RAW
- - RECID
- - RECORD-LENGTH
- - REJECTED
- - REPLACE
- - RETRY
- - RETURN-VALUE
- - RGB-VALUE
- - RIGHT-TRIM
- - R-INDEX
- - ROUND
- - ROWID
- - ROW-STATE
- - SCREEN-LINES
- - SDBNAME
- - SEARCH
- - SEEK
- - SETUSERID
- - SHA1-DIGEST
- - SQRT
- - SSL-SERVER-NAME
- - STRING
- - SUBSTITUTE
- - SUBSTRING
- - SUPER
- - TERMINAL
- - TIME
- - TIMEZONE
- - TODAY
- - TO-ROWID
- - TRANSACTION
- - TRIM
- - TRUNCATE
- - USERID
- - VALID-EVENT
- - VALID-HANDLE
- - WEEKDAY
- - WIDGET-HANDLE
- - YEAR
+ - ROW-UPDATE
+ - VALID-OBJECT
+ - TYPE-OF
+ - CAST
+ - AUDIT-ENABLED
+ - GENERATE-UUID
+ - GUID
+ - HEX-DECODE
+ - HEX-ENCODE
+ - SET-DB-CLIENT
+ - output-content-type
+ - get-value
+ - get-cgi
+ - get-field
+ - html-encode
+ - url-encode
+ - ABSOLUTE
+ - ACCUM
+ - ADD-INTERVAL
+ - ALIAS
+ - AMBIGUOUS
+ - ASC
+ - AVAILABLE
+ - BASE64-DECODE
+ - BASE64-ENCODE
+ - CAN-DO
+ - CAN-FIND
+ - CAN-QUERY
+ - CAN-SET
+ - CAPS
+ - CHR
+ - CODEPAGE-CONVERT
+ - COMPARE
+ - CONNECTED
+ - COUNT-OF
+ - CURRENT-CHANGED
+ - CURRENT-LANGUAGE
+ - CURRENT-RESULT-ROW
+ - CURRENT-VALUE
+ - DATASERVERS
+ - DATA-SOURCE-MODIFIED
+ - DATE
+ - DATETIME
+ - DATETIME-TZ
+ - DAY
+ - DBCODEPAGE
+ - DBCOLLATION
+ - DBNAME
+ - DBPARAM
+ - DBRESTRICTIONS
+ - DBTASKID
+ - DBTYPE
+ - DBVERSION
+ - DECIMAL
+ - DECRYPT
+ - DYNAMIC-CURRENT-VALUE
+ - DYNAMIC-FUNCTION
+ - DYNAMIC-NEXT-VALUE
+ - ENCODE
+ - ENCRYPT
+ - ENTERED
+ - ENTRY
+ - ERROR
+ - ETIME
+ - EXP
+ - EXTENT
+ - FILL
+ - FIRST
+ - FIRST-OF
+ - FIX-CODEPAGE
+ - FRAME-COL
+ - FRAME-DB
+ - FRAME-DOWN
+ - FRAME-FIELD
+ - FRAME-FILE
+ - FRAME-INDEX
+ - FRAME-LINE
+ - FRAME-NAME
+ - FRAME-ROW
+ - FRAME-VALUE
+ - GENERATE-PBE-KEY
+ - GENERATE-PBE-SALT
+ - GENERATE-RANDOM-KEY
+ - GET-BITS
+ - GET-BYTE
+ - GET-BYTE-ORDER
+ - GET-BYTES
+ - GET-CODEPAGE
+ - GET-CODEPAGES
+ - GET-COLLATION
+ - GET-COLLATIONS
+ - GET-DOUBLE
+ - GET-FLOAT
+ - GET-LONG
+ - GET-POINTER-VALUE
+ - GET-SHORT
+ - GET-SIZE
+ - GET-STRING
+ - GET-UNSIGNED-SHORT
+ - INDEX
+ - INTEGER
+ - INTERVAL
+ - IS-CODEPAGE-FIXED
+ - IS-COLUMN-CODEPAGE
+ - IS-LEAD-BYTE
+ - ISO-DATE
+ - KBLABEL
+ - KEYCODE
+ - KEYFUNCTION
+ - KEYLABEL
+ - KEYWORD
+ - KEYWORD-ALL
+ - LAST
+ - LASTKEY
+ - LAST-OF
+ - LC
+ - LDBNAME
+ - LEFT-TRIM
+ - LENGTH
+ - LIBRARY
+ - LINE-COUNTER
+ - LIST-EVENTS
+ - LIST-QUERY-ATTRS
+ - LIST-SET-ATTRS
+ - LIST-WIDGETS
+ - LOCKED
+ - LOG
+ - LOGICAL
+ - LOOKUP
+ - MAXIMUM
+ - MD5-DIGEST
+ - MEMBER
+ - MESSAGE-LINES
+ - MINIMUM
+ - MONTH
+ - MTIME
+ - NEW
+ - NEXT-VALUE
+ - NORMALIZE
+ - NOT
+ - NOW
+ - NUM-ALIASES
+ - NUM-DBS
+ - NUM-ENTRIES
+ - NUM-RESULTS
+ - OPSYS
+ - OS-DRIVES
+ - OS-ERROR
+ - OS-GETENV
+ - PAGE-NUMBER
+ - PAGE-SIZE
+ - PDBNAME
+ - PROC-HANDLE
+ - PROC-STATUS
+ - PROGRAM-NAME
+ - PROGRESS
+ - PROMSGS
+ - PROPATH
+ - PROVERSION
+ - QUERY-OFF-END
+ - QUOTER
+ - RANDOM
+ - RAW
+ - RECID
+ - RECORD-LENGTH
+ - REJECTED
+ - REPLACE
+ - RETRY
+ - RETURN-VALUE
+ - RGB-VALUE
+ - RIGHT-TRIM
+ - R-INDEX
+ - ROUND
+ - ROWID
+ - ROW-STATE
+ - SCREEN-LINES
+ - SDBNAME
+ - SEARCH
+ - SEEK
+ - SETUSERID
+ - SHA1-DIGEST
+ - SQRT
+ - SSL-SERVER-NAME
+ - STRING
+ - SUBSTITUTE
+ - SUBSTRING
+ - SUPER
+ - TERMINAL
+ - TIME
+ - TIMEZONE
+ - TODAY
+ - TO-ROWID
+ - TRANSACTION
+ - TRIM
+ - TRUNCATE
+ - USERID
+ - VALID-EVENT
+ - VALID-HANDLE
+ - WEEKDAY
+ - WIDGET-HANDLE
+ - YEAR
- - IF
- - THEN
- - ELSE
- - WHEN
- - OTHERWISE
- - ACCUMULATE
- - APPLY
- - ASSIGN
- - BELL
- - BUFFER-COMPARE
- - BUFFER-COPY
- - CLEAR
- - CLOSE
- - QUERY
- - STORED-PROCEDURE
- - COLOR
- - COMPILE
- - CONNECT
- - COPY-LOB
- - CREATE
- - CLIENT-PRINCIPAL
- - ALIAS
- - BROWSE
- - BUFFER
- - CALL
- - DATABASE
- - DATA-SOURCE
- - QUERY
- - SAX-READER
- - SERVER
- - SERVER-SOCKET
- - SOAP-HEADER
- - SOAP-HEADER-ENTRYREF
- - SOCKET
- - TEMP-TABLE
- - WIDGET
- - WIDGET-POOL
- - X-DOCUMENT
- - X-NODEREF
- - CURRENT-LANGUAGE
- - CURRENT-VALUE
- - DDE
- - ADVISE
- - EXECUTE
- - GET
- - INITIATE
- - REQUEST
- - SEND
- - TERMINATE
- - DEF
- - DEFINE
- - VAR
- - VARIABLE
- - BROWSE
- - BUFFER
- - BUTTON
- - DATA-SOURCE
- - FRAME
- - IMAGE
- - MENU
- - PARAM
- - PARAMETER
- - QUERY
- - RECTANGLE
- - STREAM
- - SUB-MENU
- - TEMP-TABLE
- - VARIABLE
- - WORK-TABLE
- - WORKFILE
- - DELETE
- - ALIAS
- - OBJECT
- - WIDGET
- - WIDGET-POOL
- - DICTIONARY
- - DISABLE
- - TRIGGERS
- - DISCONNECT
- - DISPLAY
- - DOS
- - DOWN
- - DYNAMIC-CURRENT-VALUE
- - EMPTY
- - TEMP-TABLE
- - ENABLE
- - ENTRY
- - EXPORT
- - FIND
- - FORM
- - FRAME-VALUE
- - GET
- - GET-KEY-VALUE
- - HIDE
- - IMPORT
- - INPUT
- - CLEAR
- - CLOSE
- - FROM
- - TO
- - THROUGH
- - INPUT-OUTPUT
- - THROUGH
- - INSERT
- - LEAVE
- - LENGTH
- - LOAD
- - LOAD-PICTURE
- - MESSAGE
- - NEXT
- - NEXT-PROMPT
- - QUERY
- - OPEN
- - OS-APPEND
- - OS-COMMAND
- - OS-COPY
- - OS-CREATE-DIR
- - OS-DELETE
- - OS-RENAME
- - OUTPUT
- - OVERLAY
- - PAGE
- - PAUSE
- - PROCESS
- - EVENTS
- - PROMPT-FOR
- - PROMSGS
- - PROPATH
- - PUBLISH
- - CURSOR
- - PUT
- - PUT-BITS
- - PUT-BYTE
- - PUT-BYTES
- - PUT-DOUBLE
- - PUT-FLOAT
- - PUT-KEY-VALUE
- - PUT-LONG
- - PUT-SHORT
- - PUT-STRING
- - PUT-UNSIGNED-SHORT
- - QUIT
- - RAW
- - RAW-TRANSFER
- - READKEY
- - RELEASE
- - EXTERNAL
- - OBJECT
- - REPOSITION
- - RETURN
- - RETURNS
- - RUN
- - STORED-PROCEDURE
- - SUPER
- - SAVE
- - CACHE
- - SEEK
- - SET
- - SET-BYTE-ORDER
- - SET-POINTER-VALUE
- - SET-SIZE
- - SHOW-STATS
- - STATUS
- - STOP
- - SUBSCRIBE
- - SUBSTRING
- - COLOR
- - SYSTEM-DIALOG
- - FONT
- - GET-DIR
- - GET-FILE
- - PRINTER-SETUP
- - SYSTEM-HELP
- - TERMINAL
- - TRANSACTION-MODE
- - AUTOMATIC
- - TRIGGER
- - UNDERLINE
- - UNDO
- - UNIX
- - UNLOAD
- - UNSUBSCRIBE
- - UP
- - UPDATE
- - USE
- - VALIDATE
- - VIEW
- - WAIT-FOR
+ - IF
+ - THEN
+ - ELSE
+ - WHEN
+ - OTHERWISE
+ - ACCUMULATE
+ - APPLY
+ - ASSIGN
+ - BELL
+ - BUFFER-COMPARE
+ - BUFFER-COPY
+ - CLEAR
+ - CLOSE
+ - QUERY
+ - STORED-PROCEDURE
+ - COLOR
+ - COMPILE
+ - CONNECT
+ - COPY-LOB
+ - CREATE
+ - CLIENT-PRINCIPAL
+ - ALIAS
+ - BROWSE
+ - BUFFER
+ - CALL
+ - DATABASE
+ - DATA-SOURCE
+ - QUERY
+ - SAX-READER
+ - SERVER
+ - SERVER-SOCKET
+ - SOAP-HEADER
+ - SOAP-HEADER-ENTRYREF
+ - SOCKET
+ - TEMP-TABLE
+ - WIDGET
+ - WIDGET-POOL
+ - X-DOCUMENT
+ - X-NODEREF
+ - CURRENT-LANGUAGE
+ - CURRENT-VALUE
+ - DDE
+ - ADVISE
+ - EXECUTE
+ - GET
+ - INITIATE
+ - REQUEST
+ - SEND
+ - TERMINATE
+ - DEF
+ - DEFINE
+ - VAR
+ - VARIABLE
+ - BROWSE
+ - BUFFER
+ - BUTTON
+ - DATA-SOURCE
+ - FRAME
+ - IMAGE
+ - MENU
+ - PARAM
+ - PARAMETER
+ - QUERY
+ - RECTANGLE
+ - STREAM
+ - SUB-MENU
+ - TEMP-TABLE
+ - VARIABLE
+ - WORK-TABLE
+ - WORKFILE
+ - DELETE
+ - ALIAS
+ - OBJECT
+ - WIDGET
+ - WIDGET-POOL
+ - DICTIONARY
+ - DISABLE
+ - TRIGGERS
+ - DISCONNECT
+ - DISPLAY
+ - DOS
+ - DOWN
+ - DYNAMIC-CURRENT-VALUE
+ - EMPTY
+ - TEMP-TABLE
+ - ENABLE
+ - ENTRY
+ - EXPORT
+ - FIND
+ - FORM
+ - FRAME-VALUE
+ - GET
+ - GET-KEY-VALUE
+ - HIDE
+ - IMPORT
+ - INPUT
+ - CLEAR
+ - CLOSE
+ - FROM
+ - TO
+ - THROUGH
+ - INPUT-OUTPUT
+ - THROUGH
+ - INSERT
+ - LEAVE
+ - LENGTH
+ - LOAD
+ - LOAD-PICTURE
+ - MESSAGE
+ - NEXT
+ - NEXT-PROMPT
+ - QUERY
+ - OPEN
+ - OS-APPEND
+ - OS-COMMAND
+ - OS-COPY
+ - OS-CREATE-DIR
+ - OS-DELETE
+ - OS-RENAME
+ - OUTPUT
+ - OVERLAY
+ - PAGE
+ - PAUSE
+ - PROCESS
+ - EVENTS
+ - PROMPT-FOR
+ - PROMSGS
+ - PROPATH
+ - PUBLISH
+ - CURSOR
+ - PUT
+ - PUT-BITS
+ - PUT-BYTE
+ - PUT-BYTES
+ - PUT-DOUBLE
+ - PUT-FLOAT
+ - PUT-KEY-VALUE
+ - PUT-LONG
+ - PUT-SHORT
+ - PUT-STRING
+ - PUT-UNSIGNED-SHORT
+ - QUIT
+ - RAW
+ - RAW-TRANSFER
+ - READKEY
+ - RELEASE
+ - EXTERNAL
+ - OBJECT
+ - REPOSITION
+ - RETURN
+ - RETURNS
+ - RUN
+ - STORED-PROCEDURE
+ - SUPER
+ - SAVE
+ - CACHE
+ - SEEK
+ - SET
+ - SET-BYTE-ORDER
+ - SET-POINTER-VALUE
+ - SET-SIZE
+ - SHOW-STATS
+ - STATUS
+ - STOP
+ - SUBSCRIBE
+ - SUBSTRING
+ - COLOR
+ - SYSTEM-DIALOG
+ - FONT
+ - GET-DIR
+ - GET-FILE
+ - PRINTER-SETUP
+ - SYSTEM-HELP
+ - TERMINAL
+ - TRANSACTION-MODE
+ - AUTOMATIC
+ - TRIGGER
+ - UNDERLINE
+ - UNDO
+ - UNIX
+ - UNLOAD
+ - UNSUBSCRIBE
+ - UP
+ - UPDATE
+ - USE
+ - VALIDATE
+ - VIEW
+ - WAIT-FOR
- - BROWSE
- - BUTTON
- - COMBO-BOX
- - CONTROL-FRAME
- - DIALOG-BOX
- - EDITOR
- - FIELD-GROUP
- - FILL-IN
- - FRAME
- - IMAGE
- - LITERAL
- - MENU
- - MENU-ITEM
- - RADIO-SET
- - RECTANGLE
- - SELECTION-LIST
- - SLIDER
- - SUB-MENU
- - TEXT
- - TOGGLE-BOX
- - WINDOW
+ - BROWSE
+ - BUTTON
+ - COMBO-BOX
+ - CONTROL-FRAME
+ - DIALOG-BOX
+ - EDITOR
+ - FIELD-GROUP
+ - FILL-IN
+ - FRAME
+ - IMAGE
+ - LITERAL
+ - MENU
+ - MENU-ITEM
+ - RADIO-SET
+ - RECTANGLE
+ - SELECTION-LIST
+ - SLIDER
+ - SUB-MENU
+ - TEXT
+ - TOGGLE-BOX
+ - WINDOW
- - THIS-OBJECT
- - AUDIT-CONTROL
- - AUDIT-POLICY
- - SECURITY-POLICY
- - ACTIVE-WINDOW
- - BUFFER
- - BUFFER-FIELD
- - CALL
- - CLIPBOARD
- - CODEBASE-LOCATOR
- - COLOR-TABLE
- - COM-SELF
- - COMPILER
- - CURRENT-WINDOW
- - DATA-RELATION
- - DATE-SOURCE
- - DEBUGGER
- - DEFAULT-WINDOW
- - ERROR-STATUS
- - FILE-INFO
- - FIELD
- - FOCUS
- - FONT-TABLE
- - LAST-EVENT
- - LOG-MANAGER
- - PRODATASET
- - QUERY
- - RCODE-INFO
- - SAX-ATTRIBUTES
- - SAX-READER
- - SELF
- - SERVER SOCKET
- - SESSION
- - SOAP-FAULT
- - SOAP-FAULT-DETAIL
- - SOAP-HEADER
- - SOAP-HEADER-ENTRYREF
- - SOCKET
- - SOURCE-PROCEDURE
- - TARGET-PROCEDURE
- - TEMP-TABLE
- - THIS-PROCEDURE
- - TRANSACTION
- - WEB-CONTEXT
- - X-DOCUMENT
- - X-NODEREF
+ - THIS-OBJECT
+ - AUDIT-CONTROL
+ - AUDIT-POLICY
+ - SECURITY-POLICY
+ - ACTIVE-WINDOW
+ - BUFFER
+ - BUFFER-FIELD
+ - CALL
+ - CLIPBOARD
+ - CODEBASE-LOCATOR
+ - COLOR-TABLE
+ - COM-SELF
+ - COMPILER
+ - CURRENT-WINDOW
+ - DATA-RELATION
+ - DATE-SOURCE
+ - DEBUGGER
+ - DEFAULT-WINDOW
+ - ERROR-STATUS
+ - FILE-INFO
+ - FIELD
+ - FOCUS
+ - FONT-TABLE
+ - LAST-EVENT
+ - LOG-MANAGER
+ - PRODATASET
+ - QUERY
+ - RCODE-INFO
+ - SAX-ATTRIBUTES
+ - SAX-READER
+ - SELF
+ - SERVER
+ - SESSION
+ - SOAP-FAULT
+ - SOAP-FAULT-DETAIL
+ - SOAP-HEADER
+ - SOAP-HEADER-ENTRYREF
+ - SOCKET
+ - SOURCE-PROCEDURE
+ - TARGET-PROCEDURE
+ - TEMP-TABLE
+ - THIS-PROCEDURE
+ - TRANSACTION
+ - WEB-CONTEXT
+ - X-DOCUMENT
+ - X-NODEREF
- - CONTROL-NAME
- - CONTROLS
- - HEIGHT
- - HONORPROKEYS
- - HONORRETURNKEY
- - LEFT
- - TOP
- - WIDTH
- - TAG
+ - CONTROL-NAME
+ - CONTROLS
+ - HEIGHT
+ - HONORPROKEYS
+ - HONORRETURNKEY
+ - LEFT
+ - TOP
+ - WIDTH
+ - TAG
- - ANYWHERE
- - SCHEMA-MARSHAL
- - ATTACHED-PAIRLIST
- - DATA-SOURCE-COMPLETE-MAP
- - KEYS
- - NEXT-ROWID
- - NUM-REFERENCES
- - RESTART-ROWID
- - CLASS-TYPE
- - FIRST-OBJECT
- - LAST-OBJECT
- - MULTI-COMPILE
- - WIDGET-ID
- - SCHEMA-LOCATION
- - STANDALONE
- - STRICT
- - VERSION
- - WRITE-STATUS
- - NONAMESPACE-SCHEMA-LOCATION
- - FRAGMENT
- - APPL-CONTEXT-ID
- - AUDIT-EVENT-CONTEXT
- - CLIENT-TTY
- - CLIENT-WORKSTATION
- - DOMAIN-DESCRIPTION
- - DOMAIN-NAME
- - DOMAIN-TYPE
- - EVENT-GROUP-ID
- - LOGIN-EXPIRATION-TIMESTAMP
- - LOGIN-HOST
- - LOGIN-STATE
- - ROLES
- - SEAL-TIMESTAMP
- - SESSION-ID
- - STATE-DETAIL
- - USER-ID
- - ACCELERATOR
- - ACTIVE
- - ACTOR
- - ADM-DATA
- - AFTER-BUFFER
- - AFTER-ROWID
- - AFTER-TABLE
- - ALLOW-COLUMN-SEARCHING
- - ALWAYS-ON-TOP
- - AMBIGUOUS
- - APPL-ALERT-BOXES
- - APPSERVER-INFO
- - APPSERVER-PASSWORD
- - APPSERVER-USERID
- - ASYNCHRONOUS
- - ASYNC-REQUEST-COUNT
- - ASYNC-REQUEST-HANDLE
- - ATTRIBUTE-NAMES
- - ATTR-SPACE
- - AUTO-COMPLETION
- - AUTO-DELETE
- - AUTO-ENDKEY
- - AUTO-GO
- - AUTO-INDENT
- - AUTO-RESIZE
- - AUTO-RETURN
- - AUTO-VALIDATE
- - AUTO-ZAP
- - AVAILABLE
- - AVAILABLE-FORMATS
- - BACKGROUND
- - BASE-ADE
- - BASIC-LOGGING
- - BATCH-MODE
- - BEFORE-BUFFER
- - BEFORE-ROWID
- - BEFORE-TABLE
- - BGCOLOR
- - BLANK
- - BLOCK-ITERATION-DISPLAY
- - BORDER-BOTTOM-CHARS
- - BORDER-BOTTOM-PIXELS
- - BORDER-LEFT-CHARS
- - BORDER-LEFT-PIXELS
- - BORDER-RIGHT-CHARS
- - BORDER-RIGHT-PIXELS
- - BORDER-TOP-CHARS
- - BORDER-TOP-PIXELS
- - BOX
- - BOX-SELECTABLE
- - BUFFER-CHARS
- - BUFFER-VALUE
- - BYTES-READ
- - BYTES-WRITTEN
- - CACHE
- - CALL-NAME
- - CALL-TYPE
- - BUFFER-FIELD
- - BUFFER-HANDLE
- - BUFFER-LINES
- - BUFFER-NAME
- - CANCEL-BUTTON
- - CANCELLED
- - CAN-CREATE
- - CAN-DELETE
- - CAN-READ
- - CAN-WRITE
- - CAREFUL-PAINT
- - CASE-SENSITIVE
- - CENTERED
- - CHARSET
- - CHECKED
- - CHILD-BUFFER
- - CHILD-NUM
- - CLIENT-CONNECTION-ID
- - CLIENT-TYPE
- - CODE
- - CODEPAGE
- - COLUMN
- - COLUMN-BGCOLOR
- - COLUMN-DCOLOR
- - COLUMN-FGCOLOR
- - COLUMN-FONT
- - COLUMN-LABEL
- - COLUMN-MOVABLE
- - COLUMN-PFCOLOR
- - COLUMN-READ-ONLY
- - COLUMN-RESIZABLE
- - COLUMN-SCROLLING
- - COM-HANDLE
- - COMPLETE
- - CONTEXT-HELP
- - CONTEXT-HELP-FILE
- - CONTEXT-HELP-ID
- - CONTROL-BOX
- - CONVERT-D-COLORS
- - CPCASE
- - CPCOLL
- - CPINTERNAL
- - CPLOG
- - CPPRINT
- - CPRCODEIN
- - CPRCODEOUT
- - CPSTREAM
- - CPTERM
- - CRC-VALUE
- - CURRENT-CHANGED
- - CURRENT-COLUMN
- - CURRENT-ITERATION
- - CURRENT-RESULT-ROW
- - CURRENT-ROW-MODIFIED
- - CURRENT-WINDOW
- - CURSOR-CHAR
- - CURSOR-LINE
- - CURSOR-OFFSET
- - DATA-ENTRY-RETURN
- - DATA-SOURCE
- - DATA-TYPE
- - DATASET
- - DATE-FORMAT
- - DBNAME
- - DB-REFERENCES
- - DCOLOR
- - DDE-ERROR
- - DDE-ID
- - DDE-ITEM
- - DDE-NAME
- - DDE-TOPIC
- - DEBLANK
- - DEBUG-ALERT
- - DECIMALS
- - DEFAULT
- - DEFAULT-BUFFER-HANDLE
- - DEFAULT-BUTTON
- - DEFAULT-COMMIT
- - DELIMITER
- - DISABLE-AUTO-ZAP
- - DISPLAY-TIMEZONE
- - DISPLAY-TYPE
- - DOWN
- - DRAG-ENABLED
- - DROP-TARGET
- - DYNAMIC
- - EDGE-CHARS
- - EDGE-PIXELS
- - EDIT-CAN-PASTE
- - EDIT-CAN-UNDO
- - EMPTY
- - ENCODING
- - END-USER-PROMPT
- - ENTRY-TYPES-LIST
- - ERROR
- - ERROR-COLUMN
- - ERROR-OBJECT-DETAIL
- - ERROR-ROW
- - ERROR-STRING
- - EVENT-PROCEDURE
- - EVENT-PROCEDURE-CONTEXT
- - EVENT-TYPE
- - EXPAND
- - EXPANDABLE
- - EXTENT
- - FGCOLOR
- - FILE-CREATE-DATE
- - FILE-CREATE-TIME
- - FILE-MOD-DATE
- - FILE-MOD-TIME
- - FILE-NAME
- - FILE-OFFSET
- - FILE-SIZE
- - FILE-TYPE
- - FILLED
- - FILL-MODE
- - FILL-WHERE-STRING
- - FIRST-ASYNC-REQUEST
- - FIRST-BUFFER
- - FIRST-CHILD
- - FIRST-COLUMN
- - FIRST-DATASET
- - FIRST-DATA-SOURCE
- - FIRST-PROCEDURE
- - FIRST-QUERY
- - FIRST-SERVER
- - FIRST-SERVER-SOCKET
- - FIRST-SOCKET
- - FIRST-TAB-ITEM
- - FIT-LAST-COLUMN
- - FLAT-BUTTON
- - FOCUSED-ROW
- - FOCUSED-ROW-SELECTED
- - FONT
- - FOREGROUND
- - FORMAT
- - FORWARD-ONLY
- - FRAME
- - FRAME-COL
- - FRAME-NAME
- - FRAME-ROW
- - FRAME-SPACING
- - FRAME-X
- - FRAME-Y
- - FREQUENCY
- - FULL-HEIGHT-CHARS
- - FULL-HEIGHT-PIXELS
- - FULL-PATHNAME
- - FULL-WIDTH-CHARS
- - FULL-WIDTH-PIXELS
- - GRAPHIC-EDGE
- - GRID-FACTOR-HORIZONTAL
- - GRID-FACTOR-VERTICAL
- - GRID-SNAP
- - GRID-UNIT-HEIGHT-CHARS
- - GRID-UNIT-HEIGHT-PIXELS
- - GRID-UNIT-WIDTH-CHARS
- - GRID-UNIT-WIDTH-PIXELS
- - GRID-VISIBLE
- - HANDLER
- - HAS-LOBS
- - HAS-RECORDS
- - HEIGHT-CHARS
- - HEIGHT-PIXELS
- - HELP
- - HIDDEN
- - HORIZONTAL
- - HTML-CHARSET
- - HWND
- - ICFPARAMETER
- - ICON
- - IGNORE-CURRENT-MODIFIED
- - IMAGE
- - IMAGE-DOWN
- - IMAGE-INSENSITIVE
- - IMAGE-UP
- - IMMEDIATE-DISPLAY
- - INDEX
- - INDEX-INFORMATION
- - INIT
- - INITIAL
- - INNER-CHARS
- - INNER-LINES
- - INPUT-VALUE
- - INSTANTIATING-PROCEDURE
- - INTERNAL-ENTRIES
- - IN-HANDLE
- - IS-OPEN
- - IS-PARAMETER-SET
- - ITEMS-PER-ROW
- - KEEP-CONNECTION-OPEN
- - KEEP-FRAME-Z-ORDER
- - KEEP-SECURITY-CACHE
- - KEY
- - LABEL
- - LABEL-BGCOLOR
- - LABEL-DCOLOR
- - LABEL-FGCOLOR
- - LABEL-FONT
- - LABELS
- - LANGUAGES
- - LARGE
- - LARGE-TO-SMALL
- - LAST-ASYNC-REQUEST
- - LAST-CHILD
- - LAST-PROCEDURE
- - LAST-SERVER
- - LAST-SERVER-SOCKET
- - LAST-SOCKET
- - LAST-TAB-ITEM
- - LENGTH
- - LINE
- - LIST-ITEM-PAIRS
- - LIST-ITEMS
- - LITERAL-QUESTION
- - LOCAL-HOST
- - LOCAL-NAME
- - LOCAL-PORT
- - LOCATOR-COLUMN-NUMBER
- - LOCATOR-LINE-NUMBER
- - LOCATOR-PUBLIC-ID
- - LOCATOR-SYSTEM-ID
- - LOCATOR-TYPE
- - LOCKED
- - LOG-ENTRY-TYPES
- - LOG-THRESHOLD
- - LOGFILE-NAME
- - LOGGING-LEVEL
- - MANDATORY
- - MANUAL-HIGHLIGHT
- - MAX-BUTTON
- - MAX-CHARS
- - MAX-DATA-GUESS
- - MAX-HEIGHT-CHARS
- - MAX-HEIGHT-PIXELS
- - MAX-VALUE
- - MAX-WIDTH-CHARS
- - MAX-WIDTH-PIXELS
- - MD-VALUE
- - MENU-BAR
- - MENU-KEY
- - MENU-MOUSE
- - MESSAGE-AREA
- - MESSAGE-AREA-FONT
- - MIN-BUTTON
- - MIN-COLUMN-WIDTH-CHARS
- - MIN-COLUMN-WIDTH-PIXELS
- - MIN-HEIGHT-CHARS
- - MIN-HEIGHT-PIXELS
- - MIN-SCHEMA-MARSHAL
- - MIN-VALUE
- - MIN-WIDTH-CHARS
- - MIN-WIDTH-PIXELS
- - MODIFIED
- - MOUSE-POINTER
- - MOVABLE
- - MULTIPLE
- - MULTITASKING-INTERVAL
- - MUST-UNDERSTAND
- - NAMESPACE-PREFIX
- - NAMESPACE-URI
- - NEEDS-APPSERVER-PROMPT
- - NEEDS-PROMPT
- - NEW
- - NEW-ROW
- - NEXT-COLUMN
- - NEXT-SIBLING
- - NEXT-TAB-ITEM
- - NO-CURRENT-VALUE
- - NO-EMPTY-SPACE
- - NO-FOCUS
- - NO-SCHEMA-MARSHAL
- - NO-VALIDATE
- - NODE-VALUE
- - NUM-BUFFERS
- - NUM-BUTTONS
- - NUM-CHILD-RELATIONS
- - NUM-CHILDREN
- - NUM-COLUMNS
- - NUM-DROPPED-FILES
- - NUM-ENTRIES
- - NUM-FIELDS
- - NUM-FORMATS
- - NUM-HEADER-ENTRIES
- - NUM-ITEMS
- - NUM-ITERATIONS
- - NUM-LINES
- - NUM-LOCKED-COLUMNS
- - NUM-LOG-FILES
- - NUM-MESSAGES
- - NUM-PARAMETERS
- - NUM-RELATIONS
- - NUM-REPLACED
- - NUM-RESULTS
- - NUM-SELECTED-ROWS
- - NUM-SELECTED-WIDGETS
- - NUM-SOURCE-BUFFERS
- - NUM-TABS
- - NUM-TO-RETAIN
- - NUM-TOP-BUFFERS
- - NUM-VISIBLE-COLUMNS
- - NUMERIC-DECIMAL-POINT
- - NUMERIC-FORMAT
- - NUMERIC-SEPARATOR
- - ON-FRAME-BORDER
- - ORIGIN-HANDLE
- - ORIGIN-ROWID
- - OVERLAY
- - OWNER
- - OWNER-DOCUMENT
- - PAGE-BOTTOM
- - PAGE-TOP
- - PARAMETER
- - PARENT
- - PARENT-BUFFER
- - PARENT-RELATION
- - PARSE-STATUS
- - PASSWORD-FIELD
- - PATHNAME
- - PERSISTENT
- - PERSISTENT-CACHE-DISABLED
- - PERSISTENT-PROCEDURE
- - PFCOLOR
- - PIXELS-PER-COLUMN
- - PIXELS-PER-ROW
- - POPUP-MENU
- - POPUP-ONLY
- - POSITION
- - PREPARED
- - PREPARE-STRING
- - PREV-COLUMN
- - PREV-SIBLING
- - PREV-TAB-ITEM
- - PRIMARY
- - PRINTER-CONTROL-HANDLE
- - PRINTER-HDC
- - PRINTER-NAME
- - PRINTER-PORT
- - PRIVATE-DATA
- - PROCEDURE-NAME
- - PROGRESS-SOURCE
- - PROXY
- - PROXY-PASSWORD
- - PROXY-USERID
- - PUBLIC-ID
- - PUBLISHED-EVENTS
- - QUERY
- - QUERY-OFF-END
- - QUIT
- - RADIO-BUTTONS
- - READ-ONLY
- - RECID
- - RECORD-LENGTH
- - REFRESHABLE
- - REJECTED
- - RELATION-FIELDS
- - RELATIONS-ACTIVE
- - REMOTE
- - REMOTE-HOST
- - REMOTE-PORT
- - REPOSITION
- - RESIZABLE
- - RESIZE
- - RETAIN-SHAPE
- - RETURN-INSERTED
- - RETURN-VALUE
- - RETURN-VALUE-DATA-TYPE
- - ROW
- - COL
- - ROW-HEIGHT-CHARS
- - ROW-HEIGHT-PIXELS
- - ROW-STATE
- - ROWID
- - ROW-MARKERS
- - ROW-RESIZABLE
- - SAVE-WHERE-STRING
- - SCHEMA-CHANGE
- - SCHEMA-PATH
- - SCREEN-LINES
- - SCREEN-VALUE
- - SCROLL-BARS
- - SCROLLABLE
- - SCROLLBAR-HORIZONTAL
- - SCROLLBAR-VERTICAL
- - SELECTABLE
- - SELECTED
- - SELECTION-END
- - SELECTION-START
- - SELECTION-TEXT
- - SENSITIVE
- - SEPARATORS
- - SEPARATOR-FGCOLOR
- - SERVER
- - SERVER-CONNECTION-BOUND
- - SERVER-CONNECTION-BOUND-REQUEST
- - SERVER-CONNECTION-CONTEXT
- - SERVER-CONNECTION-ID
- - SERVER-OPERATING-MODE
- - SHOW-IN-TASKBAR
- - SIDE-LABEL-HANDLE
- - SIDE-LABELS
- - SKIP-DELETED-RECORD
- - SMALL-ICON
- - SMALL-TITLE
- - SOAP-FAULT-ACTOR
- - SOAP-FAULT-CODE
- - SOAP-FAULT-DETAIL
- - SOAP-FAULT-STRING
- - SORT
- - STARTUP-PARAMETERS
- - STATUS-AREA
- - STATUS-AREA-FONT
- - STOP
- - STOPPED
- - STREAM
- - STRETCH-TO-FIT
- - STRING-VALUE
- - SUBTYPE
- - SUPER-PROCEDURES
- - SUPPRESS-NAMESPACE-PROCESSING
- - SUPPRESS-WARNINGS
- - SYSTEM-ALERT-BOXES
- - SYSTEM-ID
- - TAB-POSITION
- - TAB-STOP
- - TABLE
- - TABLE-CRC-LIST
- - TABLE-HANDLE
- - TABLE-LIST
- - TABLE-NUMBER
- - TEMP-DIRECTORY
- - TEXT-SELECTED
- - THREE-D
- - TIC-MARKS
- - TIME-SOURCE
- - TITLE
- - TITLE-BGCOLOR
- - TITLE-DCOLOR
- - TITLE-FGCOLOR
- - TITLE-FONT
- - TOGGLE-BOX
- - TOOLTIP
- - TOOLTIPS
- - TOP-ONLY
- - TRACKING-CHANGES
- - TRANSACTION
- - TRANSPARENT
- - TRANS-INIT-PROCEDURE
- - TYPE
- - UNDO
- - UNIQUE-ID
- - UNIQUE-MATCH
- - URL
- - URL-PASSWORD
- - URL-USERID
- - DISPLAY
- - VALIDATE-EXPRESSION
- - VALIDATE-MESSAGE
- - VALIDATION-ENABLED
- - VALUE
- - VIEW-FIRST-COLUMN-ON-REOPEN
- - VIRTUAL-HEIGHT
- - VIRTUAL-WIDTH
- - VIRTUAL-HEIGHT-CHARS
- - VIRTUAL-HEIGHT-PIXELS
- - VIRTUAL-WIDTH-CHARS
- - VIRTUAL-WIDTH-PIXELS
- - VISIBLE
- - WARNING
- - WHERE-STRING
- - WIDGET-ENTER
- - WIDGET-LEAVE
- - WIDTH-CHARS
- - WIDTH-PIXELS
- - WINDOW
- - WINDOW-STATE
- - WINDOW-SYSTEM
- - WORD-WRAP
- - WORK-AREA-HEIGHT-PIXELS
- - WORK-AREA-WIDTH-PIXELS
- - WORK-AREA-X
- - WORK-AREA-Y
- - X
- - XML-SCHEMA-PATH
- - XML-SUPPRESS-NAMESPACE-PROCESSING
- - Y
- - YEAR-OFFSET
- - WRITE-XMLSCHEMA
- - READ-XMLSCHEMA
- - WRITE-XML
- - READ-XML
- - NESTED
- - XML-DATA-TYPE
- - XML-NODE-TYPE
- - FORMATTED
- - SET-OUTPUT-DESTINATION
- - START-DOCUMENT
- - START-ELEMENT
- - WRITE-CHARACTERS
- - END-ELEMENT
- - END-DOCUMENT
- - WRITE-DATA-ELEMENT
- - INSERT-ATTRIBUTE
+ - ANYWHERE
+ - SCHEMA-MARSHAL
+ - ATTACHED-PAIRLIST
+ - DATA-SOURCE-COMPLETE-MAP
+ - KEYS
+ - NEXT-ROWID
+ - NUM-REFERENCES
+ - RESTART-ROWID
+ - CLASS-TYPE
+ - FIRST-OBJECT
+ - LAST-OBJECT
+ - MULTI-COMPILE
+ - WIDGET-ID
+ - SCHEMA-LOCATION
+ - STANDALONE
+ - STRICT
+ - VERSION
+ - WRITE-STATUS
+ - NONAMESPACE-SCHEMA-LOCATION
+ - FRAGMENT
+ - APPL-CONTEXT-ID
+ - AUDIT-EVENT-CONTEXT
+ - CLIENT-TTY
+ - CLIENT-WORKSTATION
+ - DOMAIN-DESCRIPTION
+ - DOMAIN-NAME
+ - DOMAIN-TYPE
+ - EVENT-GROUP-ID
+ - LOGIN-EXPIRATION-TIMESTAMP
+ - LOGIN-HOST
+ - LOGIN-STATE
+ - ROLES
+ - SEAL-TIMESTAMP
+ - SESSION-ID
+ - STATE-DETAIL
+ - USER-ID
+ - ACCELERATOR
+ - ACTIVE
+ - ACTOR
+ - ADM-DATA
+ - AFTER-BUFFER
+ - AFTER-ROWID
+ - AFTER-TABLE
+ - ALLOW-COLUMN-SEARCHING
+ - ALWAYS-ON-TOP
+ - AMBIGUOUS
+ - APPL-ALERT-BOXES
+ - APPSERVER-INFO
+ - APPSERVER-PASSWORD
+ - APPSERVER-USERID
+ - ASYNCHRONOUS
+ - ASYNC-REQUEST-COUNT
+ - ASYNC-REQUEST-HANDLE
+ - ATTRIBUTE-NAMES
+ - ATTR-SPACE
+ - AUTO-COMPLETION
+ - AUTO-DELETE
+ - AUTO-ENDKEY
+ - AUTO-GO
+ - AUTO-INDENT
+ - AUTO-RESIZE
+ - AUTO-RETURN
+ - AUTO-VALIDATE
+ - AUTO-ZAP
+ - AVAILABLE
+ - AVAILABLE-FORMATS
+ - BACKGROUND
+ - BASE-ADE
+ - BASIC-LOGGING
+ - BATCH-MODE
+ - BEFORE-BUFFER
+ - BEFORE-ROWID
+ - BEFORE-TABLE
+ - BGCOLOR
+ - BLANK
+ - BLOCK-ITERATION-DISPLAY
+ - BORDER-BOTTOM-CHARS
+ - BORDER-BOTTOM-PIXELS
+ - BORDER-LEFT-CHARS
+ - BORDER-LEFT-PIXELS
+ - BORDER-RIGHT-CHARS
+ - BORDER-RIGHT-PIXELS
+ - BORDER-TOP-CHARS
+ - BORDER-TOP-PIXELS
+ - BOX
+ - BOX-SELECTABLE
+ - BUFFER-CHARS
+ - BUFFER-VALUE
+ - BYTES-READ
+ - BYTES-WRITTEN
+ - CACHE
+ - CALL-NAME
+ - CALL-TYPE
+ - BUFFER-FIELD
+ - BUFFER-HANDLE
+ - BUFFER-LINES
+ - BUFFER-NAME
+ - CANCEL-BUTTON
+ - CANCELLED
+ - CAN-CREATE
+ - CAN-DELETE
+ - CAN-READ
+ - CAN-WRITE
+ - CAREFUL-PAINT
+ - CASE-SENSITIVE
+ - CENTERED
+ - CHARSET
+ - CHECKED
+ - CHILD-BUFFER
+ - CHILD-NUM
+ - CLIENT-CONNECTION-ID
+ - CLIENT-TYPE
+ - CODE
+ - CODEPAGE
+ - COLUMN
+ - COLUMN-BGCOLOR
+ - COLUMN-DCOLOR
+ - COLUMN-FGCOLOR
+ - COLUMN-FONT
+ - COLUMN-LABEL
+ - COLUMN-MOVABLE
+ - COLUMN-PFCOLOR
+ - COLUMN-READ-ONLY
+ - COLUMN-RESIZABLE
+ - COLUMN-SCROLLING
+ - COM-HANDLE
+ - COMPLETE
+ - CONTEXT-HELP
+ - CONTEXT-HELP-FILE
+ - CONTEXT-HELP-ID
+ - CONTROL-BOX
+ - CONVERT-D-COLORS
+ - CPCASE
+ - CPCOLL
+ - CPINTERNAL
+ - CPLOG
+ - CPPRINT
+ - CPRCODEIN
+ - CPRCODEOUT
+ - CPSTREAM
+ - CPTERM
+ - CRC-VALUE
+ - CURRENT-CHANGED
+ - CURRENT-COLUMN
+ - CURRENT-ITERATION
+ - CURRENT-RESULT-ROW
+ - CURRENT-ROW-MODIFIED
+ - CURRENT-WINDOW
+ - CURSOR-CHAR
+ - CURSOR-LINE
+ - CURSOR-OFFSET
+ - DATA-ENTRY-RETURN
+ - DATA-SOURCE
+ - DATA-TYPE
+ - DATASET
+ - DATE-FORMAT
+ - DBNAME
+ - DB-REFERENCES
+ - DCOLOR
+ - DDE-ERROR
+ - DDE-ID
+ - DDE-ITEM
+ - DDE-NAME
+ - DDE-TOPIC
+ - DEBLANK
+ - DEBUG-ALERT
+ - DECIMALS
+ - DEFAULT
+ - DEFAULT-BUFFER-HANDLE
+ - DEFAULT-BUTTON
+ - DEFAULT-COMMIT
+ - DELIMITER
+ - DISABLE-AUTO-ZAP
+ - DISPLAY-TIMEZONE
+ - DISPLAY-TYPE
+ - DOWN
+ - DRAG-ENABLED
+ - DROP-TARGET
+ - DYNAMIC
+ - EDGE-CHARS
+ - EDGE-PIXELS
+ - EDIT-CAN-PASTE
+ - EDIT-CAN-UNDO
+ - EMPTY
+ - ENCODING
+ - END-USER-PROMPT
+ - ENTRY-TYPES-LIST
+ - ERROR
+ - ERROR-COLUMN
+ - ERROR-OBJECT-DETAIL
+ - ERROR-ROW
+ - ERROR-STRING
+ - EVENT-PROCEDURE
+ - EVENT-PROCEDURE-CONTEXT
+ - EVENT-TYPE
+ - EXPAND
+ - EXPANDABLE
+ - EXTENT
+ - FGCOLOR
+ - FILE-CREATE-DATE
+ - FILE-CREATE-TIME
+ - FILE-MOD-DATE
+ - FILE-MOD-TIME
+ - FILE-NAME
+ - FILE-OFFSET
+ - FILE-SIZE
+ - FILE-TYPE
+ - FILLED
+ - FILL-MODE
+ - FILL-WHERE-STRING
+ - FIRST-ASYNC-REQUEST
+ - FIRST-BUFFER
+ - FIRST-CHILD
+ - FIRST-COLUMN
+ - FIRST-DATASET
+ - FIRST-DATA-SOURCE
+ - FIRST-PROCEDURE
+ - FIRST-QUERY
+ - FIRST-SERVER
+ - FIRST-SERVER-SOCKET
+ - FIRST-SOCKET
+ - FIRST-TAB-ITEM
+ - FIT-LAST-COLUMN
+ - FLAT-BUTTON
+ - FOCUSED-ROW
+ - FOCUSED-ROW-SELECTED
+ - FONT
+ - FOREGROUND
+ - FORMAT
+ - FORWARD-ONLY
+ - FRAME
+ - FRAME-COL
+ - FRAME-NAME
+ - FRAME-ROW
+ - FRAME-SPACING
+ - FRAME-X
+ - FRAME-Y
+ - FREQUENCY
+ - FULL-HEIGHT-CHARS
+ - FULL-HEIGHT-PIXELS
+ - FULL-PATHNAME
+ - FULL-WIDTH-CHARS
+ - FULL-WIDTH-PIXELS
+ - GRAPHIC-EDGE
+ - GRID-FACTOR-HORIZONTAL
+ - GRID-FACTOR-VERTICAL
+ - GRID-SNAP
+ - GRID-UNIT-HEIGHT-CHARS
+ - GRID-UNIT-HEIGHT-PIXELS
+ - GRID-UNIT-WIDTH-CHARS
+ - GRID-UNIT-WIDTH-PIXELS
+ - GRID-VISIBLE
+ - HANDLER
+ - HAS-LOBS
+ - HAS-RECORDS
+ - HEIGHT-CHARS
+ - HEIGHT-PIXELS
+ - HELP
+ - HIDDEN
+ - HORIZONTAL
+ - HTML-CHARSET
+ - HWND
+ - ICFPARAMETER
+ - ICON
+ - IGNORE-CURRENT-MODIFIED
+ - IMAGE
+ - IMAGE-DOWN
+ - IMAGE-INSENSITIVE
+ - IMAGE-UP
+ - IMMEDIATE-DISPLAY
+ - INDEX
+ - INDEX-INFORMATION
+ - INIT
+ - INITIAL
+ - INNER-CHARS
+ - INNER-LINES
+ - INPUT-VALUE
+ - INSTANTIATING-PROCEDURE
+ - INTERNAL-ENTRIES
+ - IN-HANDLE
+ - IS-OPEN
+ - IS-PARAMETER-SET
+ - ITEMS-PER-ROW
+ - KEEP-CONNECTION-OPEN
+ - KEEP-FRAME-Z-ORDER
+ - KEEP-SECURITY-CACHE
+ - KEY
+ - LABEL
+ - LABEL-BGCOLOR
+ - LABEL-DCOLOR
+ - LABEL-FGCOLOR
+ - LABEL-FONT
+ - LABELS
+ - LANGUAGES
+ - LARGE
+ - LARGE-TO-SMALL
+ - LAST-ASYNC-REQUEST
+ - LAST-CHILD
+ - LAST-PROCEDURE
+ - LAST-SERVER
+ - LAST-SERVER-SOCKET
+ - LAST-SOCKET
+ - LAST-TAB-ITEM
+ - LENGTH
+ - LINE
+ - LIST-ITEM-PAIRS
+ - LIST-ITEMS
+ - LITERAL-QUESTION
+ - LOCAL-HOST
+ - LOCAL-NAME
+ - LOCAL-PORT
+ - LOCATOR-COLUMN-NUMBER
+ - LOCATOR-LINE-NUMBER
+ - LOCATOR-PUBLIC-ID
+ - LOCATOR-SYSTEM-ID
+ - LOCATOR-TYPE
+ - LOCKED
+ - LOG-ENTRY-TYPES
+ - LOG-THRESHOLD
+ - LOGFILE-NAME
+ - LOGGING-LEVEL
+ - MANDATORY
+ - MANUAL-HIGHLIGHT
+ - MAX-BUTTON
+ - MAX-CHARS
+ - MAX-DATA-GUESS
+ - MAX-HEIGHT-CHARS
+ - MAX-HEIGHT-PIXELS
+ - MAX-VALUE
+ - MAX-WIDTH-CHARS
+ - MAX-WIDTH-PIXELS
+ - MD-VALUE
+ - MENU-BAR
+ - MENU-KEY
+ - MENU-MOUSE
+ - MESSAGE-AREA
+ - MESSAGE-AREA-FONT
+ - MIN-BUTTON
+ - MIN-COLUMN-WIDTH-CHARS
+ - MIN-COLUMN-WIDTH-PIXELS
+ - MIN-HEIGHT-CHARS
+ - MIN-HEIGHT-PIXELS
+ - MIN-SCHEMA-MARSHAL
+ - MIN-VALUE
+ - MIN-WIDTH-CHARS
+ - MIN-WIDTH-PIXELS
+ - MODIFIED
+ - MOUSE-POINTER
+ - MOVABLE
+ - MULTIPLE
+ - MULTITASKING-INTERVAL
+ - MUST-UNDERSTAND
+ - NAMESPACE-PREFIX
+ - NAMESPACE-URI
+ - NEEDS-APPSERVER-PROMPT
+ - NEEDS-PROMPT
+ - NEW
+ - NEW-ROW
+ - NEXT-COLUMN
+ - NEXT-SIBLING
+ - NEXT-TAB-ITEM
+ - NO-CURRENT-VALUE
+ - NO-EMPTY-SPACE
+ - NO-FOCUS
+ - NO-SCHEMA-MARSHAL
+ - NO-VALIDATE
+ - NODE-VALUE
+ - NUM-BUFFERS
+ - NUM-BUTTONS
+ - NUM-CHILD-RELATIONS
+ - NUM-CHILDREN
+ - NUM-COLUMNS
+ - NUM-DROPPED-FILES
+ - NUM-ENTRIES
+ - NUM-FIELDS
+ - NUM-FORMATS
+ - NUM-HEADER-ENTRIES
+ - NUM-ITEMS
+ - NUM-ITERATIONS
+ - NUM-LINES
+ - NUM-LOCKED-COLUMNS
+ - NUM-LOG-FILES
+ - NUM-MESSAGES
+ - NUM-PARAMETERS
+ - NUM-RELATIONS
+ - NUM-REPLACED
+ - NUM-RESULTS
+ - NUM-SELECTED-ROWS
+ - NUM-SELECTED-WIDGETS
+ - NUM-SOURCE-BUFFERS
+ - NUM-TABS
+ - NUM-TO-RETAIN
+ - NUM-TOP-BUFFERS
+ - NUM-VISIBLE-COLUMNS
+ - NUMERIC-DECIMAL-POINT
+ - NUMERIC-FORMAT
+ - NUMERIC-SEPARATOR
+ - ON-FRAME-BORDER
+ - ORIGIN-HANDLE
+ - ORIGIN-ROWID
+ - OVERLAY
+ - OWNER
+ - OWNER-DOCUMENT
+ - PAGE-BOTTOM
+ - PAGE-TOP
+ - PARAMETER
+ - PARENT
+ - PARENT-BUFFER
+ - PARENT-RELATION
+ - PARSE-STATUS
+ - PASSWORD-FIELD
+ - PATHNAME
+ - PERSISTENT
+ - PERSISTENT-CACHE-DISABLED
+ - PERSISTENT-PROCEDURE
+ - PFCOLOR
+ - PIXELS-PER-COLUMN
+ - PIXELS-PER-ROW
+ - POPUP-MENU
+ - POPUP-ONLY
+ - POSITION
+ - PREPARED
+ - PREPARE-STRING
+ - PREV-COLUMN
+ - PREV-SIBLING
+ - PREV-TAB-ITEM
+ - PRIMARY
+ - PRINTER-CONTROL-HANDLE
+ - PRINTER-HDC
+ - PRINTER-NAME
+ - PRINTER-PORT
+ - PRIVATE-DATA
+ - PROCEDURE-NAME
+ - PROGRESS-SOURCE
+ - PROXY
+ - PROXY-PASSWORD
+ - PROXY-USERID
+ - PUBLIC-ID
+ - PUBLISHED-EVENTS
+ - QUERY
+ - QUERY-OFF-END
+ - QUIT
+ - RADIO-BUTTONS
+ - READ-ONLY
+ - RECID
+ - RECORD-LENGTH
+ - REFRESHABLE
+ - REJECTED
+ - RELATION-FIELDS
+ - RELATIONS-ACTIVE
+ - REMOTE
+ - REMOTE-HOST
+ - REMOTE-PORT
+ - REPOSITION
+ - RESIZABLE
+ - RESIZE
+ - RETAIN-SHAPE
+ - RETURN-INSERTED
+ - RETURN-VALUE
+ - RETURN-VALUE-DATA-TYPE
+ - ROW
+ - COL
+ - ROW-HEIGHT-CHARS
+ - ROW-HEIGHT-PIXELS
+ - ROW-STATE
+ - ROWID
+ - ROW-MARKERS
+ - ROW-RESIZABLE
+ - SAVE-WHERE-STRING
+ - SCHEMA-CHANGE
+ - SCHEMA-PATH
+ - SCREEN-LINES
+ - SCREEN-VALUE
+ - SCROLL-BARS
+ - SCROLLABLE
+ - SCROLLBAR-HORIZONTAL
+ - SCROLLBAR-VERTICAL
+ - SELECTABLE
+ - SELECTED
+ - SELECTION-END
+ - SELECTION-START
+ - SELECTION-TEXT
+ - SENSITIVE
+ - SEPARATORS
+ - SEPARATOR-FGCOLOR
+ - SERVER
+ - SERVER-CONNECTION-BOUND
+ - SERVER-CONNECTION-BOUND-REQUEST
+ - SERVER-CONNECTION-CONTEXT
+ - SERVER-CONNECTION-ID
+ - SERVER-OPERATING-MODE
+ - SHOW-IN-TASKBAR
+ - SIDE-LABEL-HANDLE
+ - SIDE-LABELS
+ - SKIP-DELETED-RECORD
+ - SMALL-ICON
+ - SMALL-TITLE
+ - SOAP-FAULT-ACTOR
+ - SOAP-FAULT-CODE
+ - SOAP-FAULT-DETAIL
+ - SOAP-FAULT-STRING
+ - SORT
+ - STARTUP-PARAMETERS
+ - STATUS-AREA
+ - STATUS-AREA-FONT
+ - STOP
+ - STOPPED
+ - STREAM
+ - STRETCH-TO-FIT
+ - STRING-VALUE
+ - SUBTYPE
+ - SUPER-PROCEDURES
+ - SUPPRESS-NAMESPACE-PROCESSING
+ - SUPPRESS-WARNINGS
+ - SYSTEM-ALERT-BOXES
+ - SYSTEM-ID
+ - TAB-POSITION
+ - TAB-STOP
+ - TABLE
+ - TABLE-CRC-LIST
+ - TABLE-HANDLE
+ - TABLE-LIST
+ - TABLE-NUMBER
+ - TEMP-DIRECTORY
+ - TEXT-SELECTED
+ - THREE-D
+ - TIC-MARKS
+ - TIME-SOURCE
+ - TITLE
+ - TITLE-BGCOLOR
+ - TITLE-DCOLOR
+ - TITLE-FGCOLOR
+ - TITLE-FONT
+ - TOGGLE-BOX
+ - TOOLTIP
+ - TOOLTIPS
+ - TOP-ONLY
+ - TRACKING-CHANGES
+ - TRANSACTION
+ - TRANSPARENT
+ - TRANS-INIT-PROCEDURE
+ - TYPE
+ - UNDO
+ - UNIQUE-ID
+ - UNIQUE-MATCH
+ - URL
+ - URL-PASSWORD
+ - URL-USERID
+ - DISPLAY
+ - VALIDATE-EXPRESSION
+ - VALIDATE-MESSAGE
+ - VALIDATION-ENABLED
+ - VALUE
+ - VIEW-FIRST-COLUMN-ON-REOPEN
+ - VIRTUAL-HEIGHT
+ - VIRTUAL-WIDTH
+ - VIRTUAL-HEIGHT-CHARS
+ - VIRTUAL-HEIGHT-PIXELS
+ - VIRTUAL-WIDTH-CHARS
+ - VIRTUAL-WIDTH-PIXELS
+ - VISIBLE
+ - WARNING
+ - WHERE-STRING
+ - WIDGET-ENTER
+ - WIDGET-LEAVE
+ - WIDTH-CHARS
+ - WIDTH-PIXELS
+ - WINDOW
+ - WINDOW-STATE
+ - WINDOW-SYSTEM
+ - WORD-WRAP
+ - WORK-AREA-HEIGHT-PIXELS
+ - WORK-AREA-WIDTH-PIXELS
+ - WORK-AREA-X
+ - WORK-AREA-Y
+ - X
+ - XML-SCHEMA-PATH
+ - XML-SUPPRESS-NAMESPACE-PROCESSING
+ - Y
+ - YEAR-OFFSET
+ - WRITE-XMLSCHEMA
+ - READ-XMLSCHEMA
+ - WRITE-XML
+ - READ-XML
+ - NESTED
+ - XML-DATA-TYPE
+ - XML-NODE-TYPE
+ - FORMATTED
+ - SET-OUTPUT-DESTINATION
+ - START-DOCUMENT
+ - START-ELEMENT
+ - WRITE-CHARACTERS
+ - END-ELEMENT
+ - END-DOCUMENT
+ - WRITE-DATA-ELEMENT
+ - INSERT-ATTRIBUTE
- - COPY-DATASET
- - COPY-TEMP-TABLE
- - GET-CALLBACK-PROC-CONTEXT
- - GET-CALLBACK-PROC-NAME
- - GET-BINARY-DATA
- - ADD-SCHEMA-LOCATION
- - DECLARE-NAMESPACE
- - RESET
- - WRITE-CDATA
- - WRITE-COMMENT
- - WRITE-EMPTY-ELEMENT
- - WRITE-ENTITY-REF
- - WRITE-EXTERNAL-DTD
- - WRITE-FRAGMENT
- - WRITE-PROCESSING-INSTRUCTION
- - CLEAR-LOG
- - CLOSE-LOG
- - WRITE-MESSAGE
- - AUTHENTICATION-FAILED
- - BEGIN-EVENT-GROUP
- - CLEAR-APPL-CONTEXT
- - ENCRYPT-AUDIT-MAC-KEY
- - END-EVENT-GROUP
- - EXPORT-PRINCIPAL
- - GET-PROPERTY
- - IMPORT-PRINCIPAL
- - LIST-PROPERTY-NAMES
- - LOAD-DOMAINS
- - LOCK-REGISTRATION
- - LOG-AUDIT-EVENT
- - LOGOUT
- - REFRESH-AUDIT-POLICY
- - REGISTER-DOMAIN
- - SEAL
- - SET-APPL-CONTEXT
- - SET-CLIENT
- - SET-PROPERTY
- - VALIDATE-SEAL
- - ACCEPT-CHANGES
- - ACCEPT-ROW-CHANGES
- - ADD-BUFFER
- - ADD-CALC-COLUMN
- - ADD-COLUMNS-FROM
- - ADD-EVENTS-PROCEDURE
- - ADD-FIELDS-FROM
- - ADD-FIRST
- - ADD-HEADER-ENTRY
- - ADD-INDEX-FIELD
- - ADD-LAST
- - ADD-LIKE-COLUMN
- - ADD-LIKE-FIELD
- - ADD-LIKE-INDEX
- - ADD-NEW-FIELD
- - ADD-NEW-INDEX
- - ADD-RELATION
- - ADD-SOURCE-BUFFER
- - ADD-SUPER-PROCEDURE
- - APPEND-CHILD
- - APPLY-CALLBACK
- - ATTACH-DATA-SOURCE
- - BUFFER-COMPARE
- - BUFFER-COPY
- - BUFFER-CREATE
- - BUFFER-DELETE
- - BUFFER-FIELD
- - BUFFER-RELEASE
- - BUFFER-VALIDATE
- - CANCEL-BREAK
- - CANCEL-REQUESTS
- - CLEAR
- - CLEAR-SELECTION
- - CLONE-NODE
- - CONNECT
- - CONNECTED
- - CONVERT-TO-OFFSET
- - CREATE-LIKE
- - CREATE-NODE
- - CREATE-NODE-NAMESPACE
- - CREATE-RESULT-LIST-ENTRY
- - DEBUG
- - DELETE
- - DELETE-CHAR
- - DELETE-CURRENT-ROW
- - DELETE-HEADER-ENTRY
- - DELETE-LINE
- - DELETE-NODE
- - DELETE-RESULT-LIST-ENTRY
- - DELETE-SELECTED-ROW
- - DELETE-SELECTED-ROWS
- - DESELECT-FOCUSED-ROW
- - DESELECT-ROWS
- - DESELECT-SELECTED-ROW
- - DETACH-DATA-SOURCE
- - DISABLE
- - DISABLE-CONNECTIONS
- - DISABLE-DUMP-TRIGGERS
- - DISABLE-LOAD-TRIGGERS
- - DISCONNECT
- - DISPLAY-MESSAGE
- - DUMP-LOGGING-NOW
- - EDIT-CLEAR
- - EDIT-COPY
- - EDIT-CUT
- - EDIT-PASTE
- - EDIT-UNDO
- - EMPTY-DATASET
- - EMPTY-TEMP-TABLE
- - ENABLE
- - ENABLE-CONNECTIONS
- - ENABLE-EVENTS
- - END-FILE-DROP
- - ENTRY
- - EXPORT
- - FETCH-SELECTED-ROW
- - FILL
- - FIND-BY-ROWID
- - FIND-CURRENT
- - FIND-FIRST
- - FIND-LAST
- - FIND-UNIQUE
- - GET-ATTRIBUTE
- - GET-ATTRIBUTE-NODE
- - GET-BLUE-VALUE
- - GET-BROWSE-COLUMN
- - GET-BUFFER-HANDLE
- - GET-BYTES-AVAILABLE
- - GET-CHANGES
- - GET-CHILD
- - GET-CHILD-RELATION
- - GET-CURRENT
- - GET-DATASET-BUFFER
- - GET-DOCUMENT-ELEMENT
- - GET-DROPPED-FILE
- - GET-DYNAMIC
- - GET-FIRST
- - GET-GREEN-VALUE
- - GET-HEADER-ENTRY
- - GET-INDEX-BY-NAMESPACE-NAME
- - GET-INDEX-BY-QNAME
- - GET-ITERATION
- - GET-LAST
- - GET-LOCALNAME-BY-INDEX
- - GET-MESSAGE
- - GET-NEXT
- - GET-NODE
- - GET-NUMBER
- - GET-PARENT
- - GET-PREV
- - GET-PRINTERS
- - GET-QNAME-BY-INDEX
- - GET-RED-VALUE
- - GET-RELATION
- - GET-REPOSITIONED-ROW
- - GET-RGB-VALUE
- - GET-SELECTED-WIDGET
- - GET-SERIALIZED
- - GET-SIGNATURE
- - GET-SOCKET-OPTION
- - GET-SOURCE-BUFFER
- - GET-TAB-ITEM
- - GET-TEXT-HEIGHT-CHARS
- - GET-TEXT-HEIGHT-PIXELS
- - GET-TEXT-WIDTH-CHARS
- - GET-TEXT-WIDTH-PIXELS
- - GET-TOP-BUFFER
- - GET-TYPE-BY-INDEX
- - GET-TYPE-BY-NAMESPACE-NAME
- - GET-TYPE-BY-QNAME
- - GET-URI-BY-INDEX
- - GET-VALUE-BY-INDEX
- - GET-VALUE-BY-NAMESPACE-NAME
- - GET-VALUE-BY-QNAME
- - GET-WAIT-STATE
- - IMPORT-NODE
- - INDEX-INFORMATION
- - INITIALIZE-DOCUMENT-TYPE
- - INITIATE
- - INSERT
- - INSERT-BACKTAB
- - INSERT-BEFORE
- - INSERT-FILE
- - INSERT-ROW
- - INSERT-STRING
- - INSERT-TAB
- - INVOKE
- - IS-ROW-SELECTED
- - IS-SELECTED
- - LOAD
- - LoadControls
- - LOAD-ICON
- - LOAD-IMAGE
- - LOAD-IMAGE-DOWN
- - LOAD-IMAGE-INSENSITIVE
- - LOAD-IMAGE-UP
- - LOAD-MOUSE-POINTER
- - LOAD-SMALL-ICON
- - LONGCHAR-TO-NODE-VALUE
- - LOOKUP
- - MEMPTR-TO-NODE-VALUE
- - MERGE-CHANGES
- - MERGE-ROW-CHANGES
- - MOVE-AFTER-TAB-ITEM
- - MOVE-BEFORE-TAB-ITEM
- - MOVE-COLUMN
- - MOVE-TO-BOTTOM
- - MOVE-TO-EOF
- - MOVE-TO-TOP
- - NODE-VALUE-TO-LONGCHAR
- - NODE-VALUE-TO-MEMPTR
- - NORMALIZE
- - QUERY-CLOSE
- - QUERY-OPEN
- - QUERY-PREPARE
- - RAW-TRANSFER
- - READ
- - READ-FILE
- - REFRESH
- - REJECT-CHANGES
- - REJECT-ROW-CHANGES
- - REMOVE-ATTRIBUTE
- - REMOVE-CHILD
- - REMOVE-EVENTS-PROCEDURE
- - REMOVE-SUPER-PROCEDURE
- - REPLACE
- - REPLACE-CHILD
- - REPLACE-SELECTION-TEXT
- - REPOSITION-BACKWARD
- - REPOSITION-FORWARD
- - REPOSITION-TO-ROW
- - REPOSITION-TO-ROWID
- - SAVE
- - SAVE-FILE
- - SAVE-ROW-CHANGES
- - SAX-PARSE
- - SAX-PARSE-FIRST
- - SAX-PARSE-NEXT
- - SCROLL-TO-CURRENT-ROW
- - SCROLL-TO-ITEM
- - SCROLL-TO-SELECTED-ROW
- - SEARCH
- - SELECT-ALL
- - SELECT-FOCUSED-ROW
- - SELECT-NEXT-ROW
- - SELECT-PREV-ROW
- - SELECT-ROW
- - SET-ACTOR
- - SET-ATTRIBUTE
- - SET-ATTRIBUTE-NODE
- - SET-BLUE-VALUE
- - SET-BREAK
- - SET-BUFFERS
- - SET-CALLBACK-PROCEDURE
- - SET-COMMIT
- - SET-CONNECT-PROCEDURE
- - SET-DYNAMIC
- - SET-GREEN-VALUE
- - SET-INPUT-SOURCE
- - SET-MUST-UNDERSTAND
- - SET-NODE
- - SET-NUMERIC-FORMAT
- - SET-PARAMETER
- - SET-READ-RESPONSE-PROCEDURE
- - SET-RED-VALUE
- - SET-REPOSITIONED-ROW
- - SET-RGB-VALUE
- - SET-ROLLBACK
- - SET-SELECTION
- - SET-SERIALIZED
- - SET-SOCKET-OPTION
- - SET-WAIT-STATE
- - STOP-PARSING
- - SYNCHRONIZE
- - TEMP-TABLE-PREPARE
- - VALIDATE
- - WRITE
- - MAX-HEIGHT
- - MAX-WIDTH
+ - COPY-DATASET
+ - COPY-TEMP-TABLE
+ - GET-CALLBACK-PROC-CONTEXT
+ - GET-CALLBACK-PROC-NAME
+ - GET-BINARY-DATA
+ - ADD-SCHEMA-LOCATION
+ - DECLARE-NAMESPACE
+ - RESET
+ - WRITE-CDATA
+ - WRITE-COMMENT
+ - WRITE-EMPTY-ELEMENT
+ - WRITE-ENTITY-REF
+ - WRITE-EXTERNAL-DTD
+ - WRITE-FRAGMENT
+ - WRITE-PROCESSING-INSTRUCTION
+ - CLEAR-LOG
+ - CLOSE-LOG
+ - WRITE-MESSAGE
+ - AUTHENTICATION-FAILED
+ - BEGIN-EVENT-GROUP
+ - CLEAR-APPL-CONTEXT
+ - ENCRYPT-AUDIT-MAC-KEY
+ - END-EVENT-GROUP
+ - EXPORT-PRINCIPAL
+ - GET-PROPERTY
+ - IMPORT-PRINCIPAL
+ - LIST-PROPERTY-NAMES
+ - LOAD-DOMAINS
+ - LOCK-REGISTRATION
+ - LOG-AUDIT-EVENT
+ - LOGOUT
+ - REFRESH-AUDIT-POLICY
+ - REGISTER-DOMAIN
+ - SEAL
+ - SET-APPL-CONTEXT
+ - SET-CLIENT
+ - SET-PROPERTY
+ - VALIDATE-SEAL
+ - ACCEPT-CHANGES
+ - ACCEPT-ROW-CHANGES
+ - ADD-BUFFER
+ - ADD-CALC-COLUMN
+ - ADD-COLUMNS-FROM
+ - ADD-EVENTS-PROCEDURE
+ - ADD-FIELDS-FROM
+ - ADD-FIRST
+ - ADD-HEADER-ENTRY
+ - ADD-INDEX-FIELD
+ - ADD-LAST
+ - ADD-LIKE-COLUMN
+ - ADD-LIKE-FIELD
+ - ADD-LIKE-INDEX
+ - ADD-NEW-FIELD
+ - ADD-NEW-INDEX
+ - ADD-RELATION
+ - ADD-SOURCE-BUFFER
+ - ADD-SUPER-PROCEDURE
+ - APPEND-CHILD
+ - APPLY-CALLBACK
+ - ATTACH-DATA-SOURCE
+ - BUFFER-COMPARE
+ - BUFFER-COPY
+ - BUFFER-CREATE
+ - BUFFER-DELETE
+ - BUFFER-FIELD
+ - BUFFER-RELEASE
+ - BUFFER-VALIDATE
+ - CANCEL-BREAK
+ - CANCEL-REQUESTS
+ - CLEAR
+ - CLEAR-SELECTION
+ - CLONE-NODE
+ - CONNECT
+ - CONNECTED
+ - CONVERT-TO-OFFSET
+ - CREATE-LIKE
+ - CREATE-NODE
+ - CREATE-NODE-NAMESPACE
+ - CREATE-RESULT-LIST-ENTRY
+ - DEBUG
+ - DELETE
+ - DELETE-CHAR
+ - DELETE-CURRENT-ROW
+ - DELETE-HEADER-ENTRY
+ - DELETE-LINE
+ - DELETE-NODE
+ - DELETE-RESULT-LIST-ENTRY
+ - DELETE-SELECTED-ROW
+ - DELETE-SELECTED-ROWS
+ - DESELECT-FOCUSED-ROW
+ - DESELECT-ROWS
+ - DESELECT-SELECTED-ROW
+ - DETACH-DATA-SOURCE
+ - DISABLE
+ - DISABLE-CONNECTIONS
+ - DISABLE-DUMP-TRIGGERS
+ - DISABLE-LOAD-TRIGGERS
+ - DISCONNECT
+ - DISPLAY-MESSAGE
+ - DUMP-LOGGING-NOW
+ - EDIT-CLEAR
+ - EDIT-COPY
+ - EDIT-CUT
+ - EDIT-PASTE
+ - EDIT-UNDO
+ - EMPTY-DATASET
+ - EMPTY-TEMP-TABLE
+ - ENABLE
+ - ENABLE-CONNECTIONS
+ - ENABLE-EVENTS
+ - END-FILE-DROP
+ - ENTRY
+ - EXPORT
+ - FETCH-SELECTED-ROW
+ - FILL
+ - FIND-BY-ROWID
+ - FIND-CURRENT
+ - FIND-FIRST
+ - FIND-LAST
+ - FIND-UNIQUE
+ - GET-ATTRIBUTE
+ - GET-ATTRIBUTE-NODE
+ - GET-BLUE-VALUE
+ - GET-BROWSE-COLUMN
+ - GET-BUFFER-HANDLE
+ - GET-BYTES-AVAILABLE
+ - GET-CHANGES
+ - GET-CHILD
+ - GET-CHILD-RELATION
+ - GET-CURRENT
+ - GET-DATASET-BUFFER
+ - GET-DOCUMENT-ELEMENT
+ - GET-DROPPED-FILE
+ - GET-DYNAMIC
+ - GET-FIRST
+ - GET-GREEN-VALUE
+ - GET-HEADER-ENTRY
+ - GET-INDEX-BY-NAMESPACE-NAME
+ - GET-INDEX-BY-QNAME
+ - GET-ITERATION
+ - GET-LAST
+ - GET-LOCALNAME-BY-INDEX
+ - GET-MESSAGE
+ - GET-NEXT
+ - GET-NODE
+ - GET-NUMBER
+ - GET-PARENT
+ - GET-PREV
+ - GET-PRINTERS
+ - GET-QNAME-BY-INDEX
+ - GET-RED-VALUE
+ - GET-RELATION
+ - GET-REPOSITIONED-ROW
+ - GET-RGB-VALUE
+ - GET-SELECTED-WIDGET
+ - GET-SERIALIZED
+ - GET-SIGNATURE
+ - GET-SOCKET-OPTION
+ - GET-SOURCE-BUFFER
+ - GET-TAB-ITEM
+ - GET-TEXT-HEIGHT-CHARS
+ - GET-TEXT-HEIGHT-PIXELS
+ - GET-TEXT-WIDTH-CHARS
+ - GET-TEXT-WIDTH-PIXELS
+ - GET-TOP-BUFFER
+ - GET-TYPE-BY-INDEX
+ - GET-TYPE-BY-NAMESPACE-NAME
+ - GET-TYPE-BY-QNAME
+ - GET-URI-BY-INDEX
+ - GET-VALUE-BY-INDEX
+ - GET-VALUE-BY-NAMESPACE-NAME
+ - GET-VALUE-BY-QNAME
+ - GET-WAIT-STATE
+ - IMPORT-NODE
+ - INDEX-INFORMATION
+ - INITIALIZE-DOCUMENT-TYPE
+ - INITIATE
+ - INSERT
+ - INSERT-BACKTAB
+ - INSERT-BEFORE
+ - INSERT-FILE
+ - INSERT-ROW
+ - INSERT-STRING
+ - INSERT-TAB
+ - INVOKE
+ - IS-ROW-SELECTED
+ - IS-SELECTED
+ - LOAD
+ - LoadControls
+ - LOAD-ICON
+ - LOAD-IMAGE
+ - LOAD-IMAGE-DOWN
+ - LOAD-IMAGE-INSENSITIVE
+ - LOAD-IMAGE-UP
+ - LOAD-MOUSE-POINTER
+ - LOAD-SMALL-ICON
+ - LONGCHAR-TO-NODE-VALUE
+ - LOOKUP
+ - MEMPTR-TO-NODE-VALUE
+ - MERGE-CHANGES
+ - MERGE-ROW-CHANGES
+ - MOVE-AFTER-TAB-ITEM
+ - MOVE-BEFORE-TAB-ITEM
+ - MOVE-COLUMN
+ - MOVE-TO-BOTTOM
+ - MOVE-TO-EOF
+ - MOVE-TO-TOP
+ - NODE-VALUE-TO-LONGCHAR
+ - NODE-VALUE-TO-MEMPTR
+ - NORMALIZE
+ - QUERY-CLOSE
+ - QUERY-OPEN
+ - QUERY-PREPARE
+ - RAW-TRANSFER
+ - READ
+ - READ-FILE
+ - REFRESH
+ - REJECT-CHANGES
+ - REJECT-ROW-CHANGES
+ - REMOVE-ATTRIBUTE
+ - REMOVE-CHILD
+ - REMOVE-EVENTS-PROCEDURE
+ - REMOVE-SUPER-PROCEDURE
+ - REPLACE
+ - REPLACE-CHILD
+ - REPLACE-SELECTION-TEXT
+ - REPOSITION-BACKWARD
+ - REPOSITION-FORWARD
+ - REPOSITION-TO-ROW
+ - REPOSITION-TO-ROWID
+ - SAVE
+ - SAVE-FILE
+ - SAVE-ROW-CHANGES
+ - SAX-PARSE
+ - SAX-PARSE-FIRST
+ - SAX-PARSE-NEXT
+ - SCROLL-TO-CURRENT-ROW
+ - SCROLL-TO-ITEM
+ - SCROLL-TO-SELECTED-ROW
+ - SEARCH
+ - SELECT-ALL
+ - SELECT-FOCUSED-ROW
+ - SELECT-NEXT-ROW
+ - SELECT-PREV-ROW
+ - SELECT-ROW
+ - SET-ACTOR
+ - SET-ATTRIBUTE
+ - SET-ATTRIBUTE-NODE
+ - SET-BLUE-VALUE
+ - SET-BREAK
+ - SET-BUFFERS
+ - SET-CALLBACK-PROCEDURE
+ - SET-COMMIT
+ - SET-CONNECT-PROCEDURE
+ - SET-DYNAMIC
+ - SET-GREEN-VALUE
+ - SET-INPUT-SOURCE
+ - SET-MUST-UNDERSTAND
+ - SET-NODE
+ - SET-NUMERIC-FORMAT
+ - SET-PARAMETER
+ - SET-READ-RESPONSE-PROCEDURE
+ - SET-RED-VALUE
+ - SET-REPOSITIONED-ROW
+ - SET-RGB-VALUE
+ - SET-ROLLBACK
+ - SET-SELECTION
+ - SET-SERIALIZED
+ - SET-SOCKET-OPTION
+ - SET-WAIT-STATE
+ - STOP-PARSING
+ - SYNCHRONIZE
+ - TEMP-TABLE-PREPARE
+ - VALIDATE
+ - WRITE
+ - MAX-HEIGHT
+ - MAX-WIDTH
- - CLASSPATH
- - DLC
- - EVTLEVEL
- - JDKCP
- - JDKHOME
- - JFCCP
- - JFHOME
- - JIT
- - JRECP
- - JREHOME
- - JVMEXE
- - PATH
- - PROCFG
- - PROCONV
- - PROEXE
- - PROGRESSCP
- - PROLOAD
- - PROMSGS
- - PROPATH
- - PROSRV
- - PROSTARTUP
- - PROTERMCAP
- - TERM
- - TERMINAL
- - GATEWAY_INTERFACE
- - SERVER_SOFTWARE
- - SERVER_PROTOCOL
- - SERVER_NAME
- - SERVER_PORT
- - REQUEST_METHOD
- - SCRIPT_NAME
- - PATH_INFO
- - PATH_TRANSLATED
- - QUERY_STRING
- - REMOTE_ADDR
- - REMOTE_IDENT
- - REMOTE_USER
- - AUTH_TYPE
- - REMOTE_HOST
- - CONTENT_TYPE
- - CONTENT_LENGTH
- - HTTP_ACCEPT
- - HTTP_COOKIE
- - HTTP_REFERER
- - HTTP_USER_AGENT
- - HTTP_REFERER
- - HTTPS
- - HTTP_REFERER
- - HOSTURL
- - SELFURL
- - APPPROGRAM
- - APPURL
- - APPPROGRAM
- - OUTPUT-CONTENT-TYPE
- - WEB_SRC_PATH
+ - CLASSPATH
+ - DLC
+ - EVTLEVEL
+ - JDKCP
+ - JDKHOME
+ - JFCCP
+ - JFHOME
+ - JIT
+ - JRECP
+ - JREHOME
+ - JVMEXE
+ - PATH
+ - PROCFG
+ - PROCONV
+ - PROEXE
+ - PROGRESSCP
+ - PROLOAD
+ - PROMSGS
+ - PROPATH
+ - PROSRV
+ - PROSTARTUP
+ - PROTERMCAP
+ - TERM
+ - TERMINAL
+ - GATEWAY_INTERFACE
+ - SERVER_SOFTWARE
+ - SERVER_PROTOCOL
+ - SERVER_NAME
+ - SERVER_PORT
+ - REQUEST_METHOD
+ - SCRIPT_NAME
+ - PATH_INFO
+ - PATH_TRANSLATED
+ - QUERY_STRING
+ - REMOTE_ADDR
+ - REMOTE_IDENT
+ - REMOTE_USER
+ - AUTH_TYPE
+ - REMOTE_HOST
+ - CONTENT_TYPE
+ - CONTENT_LENGTH
+ - HTTP_ACCEPT
+ - HTTP_COOKIE
+ - HTTP_REFERER
+ - HTTP_USER_AGENT
+ - HTTP_REFERER
+ - HTTPS
+ - HTTP_REFERER
+ - HOSTURL
+ - SELFURL
+ - APPPROGRAM
+ - APPURL
+ - APPPROGRAM
+ - OUTPUT-CONTENT-TYPE
+ - WEB_SRC_PATH
@@ -1686,7 +1686,6 @@
-
@@ -1696,7 +1695,6 @@
-
diff --git a/kate/data/purebasic.xml b/kate/data/purebasic.xml
index b9ad1b5ba..1e390711e 100644
--- a/kate/data/purebasic.xml
+++ b/kate/data/purebasic.xml
@@ -2,1673 +2,1673 @@
- - Break
- - Continue
- - For
- - ForEach
- - To
- - Step
- - Next
- - Gosub
- - Return
- - FakeReturn
- - If
- - Else
- - ElseIf
- - EndIf
- - Repeat
- - Until
- - Select
- - Case
- - Default
- - EndSelect
- - While
- - Wend
- - End
- - Structure
- - EndStructure
- - Interface
- - EndInterface
- - Extends
- - DefType
- - Dim
- - Enumeration
- - EndEnumeration
- - Global
- - Procedure
- - EndProcedure
- - ProcedureReturn
- - Shared
- - Protected
- - Static
- - Declare
- - DataSection
- - EndDataSection
- - Data
- - Restore
- - Read
- - IncludeFile
- - XIncludeFile
- - IncludeBinary
- - IncludePath
- - ProcedureDLL
- - NewList
- - Goto
- - With
- - DeclareModule
- - EndDeclareModule
- - Module
- - EndModule
- - UseModule
- - UnuseModule
+ - Break
+ - Continue
+ - For
+ - ForEach
+ - To
+ - Step
+ - Next
+ - Gosub
+ - Return
+ - FakeReturn
+ - If
+ - Else
+ - ElseIf
+ - EndIf
+ - Repeat
+ - Until
+ - Select
+ - Case
+ - Default
+ - EndSelect
+ - While
+ - Wend
+ - End
+ - Structure
+ - EndStructure
+ - Interface
+ - EndInterface
+ - Extends
+ - DefType
+ - Dim
+ - Enumeration
+ - EndEnumeration
+ - Global
+ - Procedure
+ - EndProcedure
+ - ProcedureReturn
+ - Shared
+ - Protected
+ - Static
+ - Declare
+ - DataSection
+ - EndDataSection
+ - Data
+ - Restore
+ - Read
+ - IncludeFile
+ - XIncludeFile
+ - IncludeBinary
+ - IncludePath
+ - ProcedureDLL
+ - NewList
+ - Goto
+ - With
+ - DeclareModule
+ - EndDeclareModule
+ - Module
+ - EndModule
+ - UseModule
+ - UnuseModule
- - CompilerIf
- - CompilerElse
- - CompilerEndIf
- - CompilerSelect
- - CompilerCase
- - CompilerDefault
- - CompilerEndSelect
+ - CompilerIf
+ - CompilerElse
+ - CompilerEndIf
+ - CompilerSelect
+ - CompilerCase
+ - CompilerDefault
+ - CompilerEndSelect
- - CallDebugger
- - Debug
+ - CallDebugger
+ - Debug
- - ACos
- - ACosH
- - AESDecoder
- - AESEncoder
- - ASin
- - ASinH
- - ATan
- - ATan2
- - ATanH
- - AbortFTPFile
- - Abs
- - Add3DArchive
- - AddBillboard
- - AddCipherBuffer
- - AddDate
- - AddElement
- - AddEntityAnimationTime
- - AddGadgetColumn
- - AddGadgetItem
- - AddGadgetItem3D
- - AddJSONElement
- - AddJSONMember
- - AddKeyboardShortcut
- - AddMailAttachment
- - AddMailAttachmentData
- - AddMailRecipient
- - AddMapElement
- - AddMaterialLayer
- - AddNodeAnimationTime
- - AddPackFile
- - AddPackMemory
- - AddSplinePoint
- - AddStaticGeometryEntity
- - AddStatusBarField
- - AddSubMesh
- - AddSysTrayIcon
- - AddTerrainTexture
- - AddVertexPoseReference
- - AddWindowTimer
- - AffectedDatabaseRows
- - AllocateMemory
- - AllocateStructure
- - Alpha
- - AlphaBlend
- - AmbientColor
- - AntialiasingMode
- - ApplyEntityForce
- - ApplyEntityImpulse
- - ArraySize
- - Asc
- - AttachEntityObject
- - AttachNodeObject
- - AttachRibbonEffect
- - AudioCDLength
- - AudioCDName
- - AudioCDStatus
- - AudioCDTrackLength
- - AudioCDTrackSeconds
- - AudioCDTracks
- - AvailableProgramOutput
- - AvailableScreenMemory
- - AvailableSerialPortInput
- - AvailableSerialPortOutput
- - BackColor
- - Base64Decoder
- - Base64Encoder
- - BillboardGroupCommonDirection
- - BillboardGroupCommonUpVector
- - BillboardGroupID
- - BillboardGroupMaterial
- - BillboardGroupX
- - BillboardGroupY
- - BillboardGroupZ
- - BillboardHeight
- - BillboardLocate
- - BillboardWidth
- - BillboardX
- - BillboardY
- - BillboardZ
- - Bin
- - BindEvent
- - BindGadgetEvent
- - BindMenuEvent
- - Blue
- - Box
- - BoxedGradient
- - BuildMeshShadowVolume
- - BuildMeshTangents
- - BuildStaticGeometry
- - BuildTerrain
- - ButtonGadget
- - ButtonGadget3D
- - ButtonImageGadget
- - CPUName
- - CRC32FileFingerprint
- - CRC32Fingerprint
- - CalendarGadget
- - CallCFunction
- - CallCFunctionFast
- - CallFunction
- - CallFunctionFast
- - CameraBackColor
- - CameraDirection
- - CameraDirectionX
- - CameraDirectionY
- - CameraDirectionZ
- - CameraFOV
- - CameraFixedYawAxis
- - CameraFollow
- - CameraID
- - CameraLookAt
- - CameraPitch
- - CameraProjectionMode
- - CameraProjectionX
- - CameraProjectionY
- - CameraRange
- - CameraRenderMode
- - CameraRoll
- - CameraViewHeight
- - CameraViewWidth
- - CameraViewX
- - CameraViewY
- - CameraX
- - CameraY
- - CameraYaw
- - CameraZ
- - CanvasGadget
- - CanvasOutput
- - CatchImage
- - CatchJSON
- - CatchMusic
- - CatchSound
- - CatchSprite
- - CatchXML
- - ChangeCurrentElement
- - ChangeGamma
- - ChangeListIconGadgetDisplay
- - ChangeSysTrayIcon
- - CheckBoxGadget
- - CheckBoxGadget3D
- - CheckDatabaseNull
- - CheckFTPConnection
- - CheckFilename
- - CheckObjectVisibility
- - ChildXMLNode
- - Chr
- - Circle
- - CircularGradient
- - ClearBillboards
- - ClearClipboard
- - ClearConsole
- - ClearDebugOutput
- - ClearGadgetItemList
- - ClearGadgetItems
- - ClearGadgetItems3D
- - ClearJSONElements
- - ClearJSONMembers
- - ClearList
- - ClearMap
- - ClearScreen
- - ClearSpline
- - ClipOutput
- - ClipSprite
- - CloseConsole
- - CloseCryptRandom
- - CloseDatabase
- - CloseFTP
- - CloseFile
- - CloseGadgetList
- - CloseGadgetList3D
- - CloseHelp
- - CloseLibrary
- - CloseNetworkConnection
- - CloseNetworkServer
- - ClosePack
- - ClosePreferences
- - CloseProgram
- - CloseScreen
- - CloseSerialPort
- - CloseSubMenu
- - CloseWindow
- - CloseWindow3D
- - CocoaMessage
- - ColorRequester
- - ComboBoxGadget
- - ComboBoxGadget3D
- - CompareMemory
- - CompareMemoryString
- - ComposeJSON
- - ComposeXML
- - CompositorEffectParameter
- - CompressMemory
- - ComputeSpline
- - ComputerName
- - ConeTwistJoint
- - ConicalGradient
- - ConnectionID
- - ConsoleColor
- - ConsoleCursor
- - ConsoleError
- - ConsoleLocate
- - ConsoleTitle
- - ContainerGadget
- - ContainerGadget3D
- - ConvertLocalToWorldPosition
- - ConvertWorldToLocalPosition
- - CopyArray
- - CopyDirectory
- - CopyEntity
- - CopyFile
- - CopyImage
- - CopyLight
- - CopyList
- - CopyMap
- - CopyMaterial
- - CopyMemory
- - CopyMemoryString
- - CopyMesh
- - CopySprite
- - CopyTexture
- - CopyXMLNode
- - Cos
- - CosH
- - CountBillboards
- - CountCPUs
- - CountGadgetItems
- - CountGadgetItems3D
- - CountLibraryFunctions
- - CountList
- - CountMaterialLayers
- - CountProgramParameters
- - CountRegularExpressionGroups
- - CountSplinePoints
- - CountString
- - CreateBillboardGroup
- - CreateCamera
- - CreateCompositorEffect
- - CreateCube
- - CreateCubeMapTexture
- - CreateCylinder
- - CreateDialog
- - CreateDirectory
- - CreateEntity
- - CreateFTPDirectory
- - CreateFile
- - CreateGadgetList
- - CreateImage
- - CreateImageMenu
- - CreateJSON
- - CreateLensFlareEffect
- - CreateLight
- - CreateLine3D
- - CreateMail
- - CreateMaterial
- - CreateMenu
- - CreateMesh
- - CreateMutex
- - CreateNetworkServer
- - CreateNode
- - CreateNodeAnimation
- - CreateNodeAnimationKeyFrame
- - CreatePack
- - CreateParticleEmitter
- - CreatePlane
- - CreatePopupImageMenu
- - CreatePopupMenu
- - CreatePreferences
- - CreateRegularExpression
- - CreateRenderTexture
- - CreateRibbonEffect
- - CreateSemaphore
- - CreateSphere
- - CreateSpline
- - CreateSprite
- - CreateStaticGeometry
- - CreateStatusBar
- - CreateTerrain
- - CreateText3D
- - CreateTexture
- - CreateThread
- - CreateToolBar
- - CreateVertexAnimation
- - CreateVertexPoseKeyFrame
- - CreateVertexTrack
- - CreateWater
- - CreateXML
- - CreateXMLNode
- - CryptRandom
- - CryptRandomData
- - CustomFilterCallback
- - CustomGradient
- - DESFingerprint
- - DatabaseColumnIndex
- - DatabaseColumnName
- - DatabaseColumnSize
- - DatabaseColumnType
- - DatabaseColumns
- - DatabaseDriverDescription
- - DatabaseDriverName
- - DatabaseError
- - DatabaseID
- - DatabaseQuery
- - DatabaseUpdate
- - Date
- - DateGadget
- - Day
- - DayOfWeek
- - DayOfYear
- - DefaultPrinter
- - DefineTerrainTile
- - Degree
- - Delay
- - DeleteDirectory
- - DeleteElement
- - DeleteFTPDirectory
- - DeleteFTPFile
- - DeleteFile
- - DeleteMapElement
- - DeleteXMLNode
- - DesktopDepth
- - DesktopFrequency
- - DesktopHeight
- - DesktopMouseX
- - DesktopMouseY
- - DesktopName
- - DesktopWidth
- - DesktopX
- - DesktopY
- - DetachEntityObject
- - DetachNodeObject
- - DetachRibbonEffect
- - DialogError
- - DialogGadget
- - DialogID
- - DialogWindow
- - DirectoryEntryAttributes
- - DirectoryEntryDate
- - DirectoryEntryName
- - DirectoryEntrySize
- - DirectoryEntryType
- - DisableEntityBody
- - DisableGadget
- - DisableGadget3D
- - DisableLightShadows
- - DisableMaterialLighting
- - DisableMenuItem
- - DisableParticleEmitter
- - DisableToolBarButton
- - DisableWindow
- - DisableWindow3D
- - DisplayPopupMenu
- - DisplaySprite
- - DisplayTransparentSprite
- - DoubleClickTime
- - DragFiles
- - DragImage
- - DragOSFormats
- - DragPrivate
- - DragText
- - DrawAlphaImage
- - DrawImage
- - DrawRotatedText
- - DrawText
- - DrawingBuffer
- - DrawingBufferPitch
- - DrawingBufferPixelFormat
- - DrawingFont
- - DrawingMode
- - EditorGadget
- - EditorGadget3D
- - EjectAudioCD
- - ElapsedMilliseconds
- - Ellipse
- - EllipticalGradient
- - EnableGadgetDrop
- - EnableGraphicalConsole
- - EnableHingeJointAngularMotor
- - EnableManualEntityBoneControl
- - EnableWindowDrop
- - EnableWorldCollisions
- - EnableWorldPhysics
- - EncodeImage
- - Engine3DStatus
- - EntityAngularFactor
- - EntityAnimationBlendMode
- - EntityAnimationStatus
- - EntityBonePitch
- - EntityBoneRoll
- - EntityBoneX
- - EntityBoneY
- - EntityBoneYaw
- - EntityBoneZ
- - EntityBoundingBox
- - EntityCollide
- - EntityCubeMapTexture
- - EntityCustomParameter
- - EntityFixedYawAxis
- - EntityID
- - EntityLinearFactor
- - EntityLookAt
- - EntityParentNode
- - EntityPhysicBody
- - EntityPitch
- - EntityRenderMode
- - EntityRoll
- - EntityVelocity
- - EntityX
- - EntityY
- - EntityYaw
- - EntityZ
- - EnvironmentVariableName
- - EnvironmentVariableValue
- - Eof
- - ErrorAddress
- - ErrorCode
- - ErrorFile
- - ErrorLine
- - ErrorMessage
- - ErrorRegister
- - ErrorTargetAddress
- - EventClient
- - EventData
- - EventDropAction
- - EventDropBuffer
- - EventDropFiles
- - EventDropImage
- - EventDropPrivate
- - EventDropSize
- - EventDropText
- - EventDropType
- - EventDropX
- - EventDropY
- - EventGadget
- - EventGadget3D
- - EventMenu
- - EventServer
- - EventTimer
- - EventType
- - EventType3D
- - EventWindow
- - EventWindow3D
- - EventlParam
- - EventwParam
- - ExamineAssembly
- - ExamineDatabaseDrivers
- - ExamineDesktops
- - ExamineDirectory
- - ExamineEnvironmentVariables
- - ExamineFTPDirectory
- - ExamineIPAddresses
- - ExamineJSONMembers
- - ExamineJoystick
- - ExamineKeyboard
- - ExamineLibraryFunctions
- - ExamineMD5Fingerprint
- - ExamineMouse
- - ExaminePack
- - ExaminePreferenceGroups
- - ExaminePreferenceKeys
- - ExamineRegularExpression
- - ExamineSHA1Fingerprint
- - ExamineScreenModes
- - ExamineWorldCollisions
- - ExamineXMLAttributes
- - Exp
- - ExplorerComboGadget
- - ExplorerListGadget
- - ExplorerTreeGadget
- - ExportJSON
- - ExportJSONSize
- - ExportXML
- - ExportXMLSize
- - ExtractJSONArray
- - ExtractJSONList
- - ExtractJSONMap
- - ExtractJSONStructure
- - ExtractRegularExpression
- - ExtractXMLArray
- - ExtractXMLList
- - ExtractXMLMap
- - ExtractXMLStructure
- - FTPDirectoryEntryAttributes
- - FTPDirectoryEntryDate
- - FTPDirectoryEntryName
- - FTPDirectoryEntryRaw
- - FTPDirectoryEntrySize
- - FTPDirectoryEntryType
- - FTPProgress
- - FetchEntityMaterial
- - FetchOrientation
- - FileBuffersSize
- - FileID
- - FileSeek
- - FileSize
- - FillArea
- - FillMemory
- - FindMapElement
- - FindString
- - FinishCipher
- - FinishDatabaseQuery
- - FinishDirectory
- - FinishFTPDirectory
- - FinishFingerprint
- - FinishMesh
- - FirstDatabaseRow
- - FirstElement
- - FirstWorldCollisionEntity
- - FlipBuffers
- - FlushFileBuffers
- - Fog
- - FontID
- - FontRequester
- - FormatDate
- - FormatXML
- - FrameGadget
- - FrameGadget3D
- - FreeArray
- - FreeBillboardGroup
- - FreeCamera
- - FreeDialog
- - FreeEffect
- - FreeEntity
- - FreeEntityJoints
- - FreeFont
- - FreeGadget
- - FreeGadget3D
- - FreeIP
- - FreeImage
- - FreeJSON
- - FreeJoint
- - FreeLight
- - FreeList
- - FreeMail
- - FreeMap
- - FreeMaterial
- - FreeMemory
- - FreeMenu
- - FreeMesh
- - FreeMovie
- - FreeMusic
- - FreeMutex
- - FreeNode
- - FreeNodeAnimation
- - FreeParticleEmitter
- - FreeRegularExpression
- - FreeSemaphore
- - FreeSound
- - FreeSound3D
- - FreeSpline
- - FreeSprite
- - FreeStaticGeometry
- - FreeStatusBar
- - FreeStructure
- - FreeTerrain
- - FreeText3D
- - FreeTexture
- - FreeToolBar
- - FreeWater
- - FreeXML
- - FrontColor
- - GadgetHeight
- - GadgetHeight3D
- - GadgetID
- - GadgetID3D
- - GadgetItemID
- - GadgetToolTip
- - GadgetToolTip3D
- - GadgetType
- - GadgetType3D
- - GadgetWidth
- - GadgetWidth3D
- - GadgetX
- - GadgetX3D
- - GadgetY
- - GadgetY3D
- - GetActiveGadget
- - GetActiveGadget3D
- - GetActiveWindow
- - GetActiveWindow3D
- - GetClientIP
- - GetClientPort
- - GetClipboardImage
- - GetClipboardText
- - GetCurrentDirectory
- - GetDatabaseBlob
- - GetDatabaseDouble
- - GetDatabaseFloat
- - GetDatabaseLong
- - GetDatabaseQuad
- - GetDatabaseString
- - GetEntityAnimationLength
- - GetEntityAnimationTime
- - GetEntityAnimationWeight
- - GetEntityAttribute
- - GetEntityCollisionGroup
- - GetEntityCollisionMask
- - GetEnvironmentVariable
- - GetExtensionPart
- - GetFTPDirectory
- - GetFileAttributes
- - GetFileDate
- - GetFilePart
- - GetFunction
- - GetFunctionEntry
- - GetGadgetAttribute
- - GetGadgetAttribute3D
- - GetGadgetColor
- - GetGadgetData
- - GetGadgetData3D
- - GetGadgetFont
- - GetGadgetItemAttribute
- - GetGadgetItemColor
- - GetGadgetItemData
- - GetGadgetItemData3D
- - GetGadgetItemState
- - GetGadgetItemState3D
- - GetGadgetItemText
- - GetGadgetItemText3D
- - GetGadgetState
- - GetGadgetState3D
- - GetGadgetText
- - GetGadgetText3D
- - GetHTTPHeader
- - GetHomeDirectory
- - GetJSONBoolean
- - GetJSONDouble
- - GetJSONElement
- - GetJSONFloat
- - GetJSONInteger
- - GetJSONMember
- - GetJSONQuad
- - GetJSONString
- - GetJointAttribute
- - GetLightColor
- - GetMailAttribute
- - GetMailBody
- - GetMaterialAttribute
- - GetMaterialColor
- - GetMenuItemState
- - GetMenuItemText
- - GetMenuTitleText
- - GetMeshData
- - GetMusicPosition
- - GetMusicRow
- - GetNodeAnimationKeyFrameTime
- - GetNodeAnimationLength
- - GetNodeAnimationTime
- - GetNodeAnimationWeight
- - GetOriginX
- - GetOriginY
- - GetPathPart
- - GetRuntimeDouble
- - GetRuntimeInteger
- - GetRuntimeString
- - GetScriptMaterial
- - GetScriptParticleEmitter
- - GetScriptTexture
- - GetSerialPortStatus
- - GetSoundFrequency
- - GetSoundPosition
- - GetTemporaryDirectory
- - GetTerrainTileHeightAtPoint
- - GetTerrainTileLayerBlend
- - GetToolBarButtonState
- - GetURLPart
- - GetW
- - GetWindowColor
- - GetWindowData
- - GetWindowState
- - GetWindowTitle
- - GetWindowTitle3D
- - GetX
- - GetXMLAttribute
- - GetXMLEncoding
- - GetXMLNodeName
- - GetXMLNodeOffset
- - GetXMLNodeText
- - GetXMLStandalone
- - GetY
- - GetZ
- - GrabDrawingImage
- - GrabImage
- - GrabSprite
- - GradientColor
- - Green
- - Hex
- - HideBillboardGroup
- - HideEffect
- - HideEntity
- - HideGadget
- - HideGadget3D
- - HideLight
- - HideMenu
- - HideParticleEmitter
- - HideWindow
- - HideWindow3D
- - HingeJoint
- - HingeJointMotorTarget
- - HostName
- - Hour
- - HyperLinkGadget
- - IPAddressField
- - IPAddressGadget
- - IPString
- - ImageDepth
- - ImageFormat
- - ImageGadget
- - ImageGadget3D
- - ImageHeight
- - ImageID
- - ImageOutput
- - ImageWidth
- - Infinity
- - InitAudioCD
- - InitEngine3D
- - InitJoystick
- - InitKeyboard
- - InitMouse
- - InitMovie
- - InitNetwork
- - InitScintilla
- - InitSound
- - InitSprite
- - Inkey
- - Input
- - InputEvent3D
- - InputRequester
- - InsertElement
- - InsertJSONArray
- - InsertJSONList
- - InsertJSONMap
- - InsertJSONStructure
- - InsertString
- - InsertXMLArray
- - InsertXMLList
- - InsertXMLMap
- - InsertXMLStructure
- - InstructionAddress
- - InstructionString
- - Int
- - IntQ
- - IsBillboardGroup
- - IsCamera
- - IsDatabase
- - IsDialog
- - IsDirectory
- - IsEffect
- - IsEntity
- - IsFile
- - IsFingerprint
- - IsFont
- - IsFtp
- - IsGadget
- - IsGadget3D
- - IsImage
- - IsInfinity
- - IsJSON
- - IsLibrary
- - IsLight
- - IsMail
- - IsMaterial
- - IsMenu
- - IsMesh
- - IsMovie
- - IsMusic
- - IsNaN
- - IsNode
- - IsParticleEmitter
- - IsProgram
- - IsRegularExpression
- - IsRuntime
- - IsScreenActive
- - IsSerialPort
- - IsSound
- - IsSound3D
- - IsSprite
- - IsStaticGeometry
- - IsStatusBar
- - IsSysTrayIcon
- - IsText3D
- - IsTexture
- - IsThread
- - IsToolBar
- - IsWindow
- - IsWindow3D
- - IsXML
- - JSONArraySize
- - JSONErrorLine
- - JSONErrorMessage
- - JSONErrorPosition
- - JSONMemberKey
- - JSONMemberValue
- - JSONObjectSize
- - JSONType
- - JSONValue
- - JoystickAxisX
- - JoystickAxisY
- - JoystickAxisZ
- - JoystickButton
- - JoystickName
- - KeyboardInkey
- - KeyboardMode
- - KeyboardPushed
- - KeyboardReleased
- - KillProgram
- - KillThread
- - LCase
- - LSet
- - LTrim
- - LastElement
- - Left
- - Len
- - LensFlareEffectColor
- - LibraryFunctionAddress
- - LibraryFunctionName
- - LibraryID
- - LightAttenuation
- - LightDirection
- - LightDirectionX
- - LightDirectionY
- - LightDirectionZ
- - LightID
- - LightLookAt
- - LightPitch
- - LightRoll
- - LightX
- - LightY
- - LightYaw
- - LightZ
- - Line
- - LineXY
- - LinearGradient
- - ListIconGadget
- - ListIndex
- - ListSize
- - ListViewGadget
- - ListViewGadget3D
- - LoadFont
- - LoadImage
- - LoadJSON
- - LoadMesh
- - LoadMovie
- - LoadMusic
- - LoadSound
- - LoadSound3D
- - LoadSprite
- - LoadTexture
- - LoadWorld
- - LoadXML
- - Loc
- - LockMutex
- - Lof
- - Log
- - Log10
- - MD5FileFingerprint
- - MD5Fingerprint
- - MDIGadget
- - MailProgress
- - MainXMLNode
- - MakeIPAddress
- - MapKey
- - MapSize
- - MatchRegularExpression
- - MaterialBlendingMode
- - MaterialCullingMode
- - MaterialFilteringMode
- - MaterialFog
- - MaterialID
- - MaterialShadingMode
- - MaterialShininess
- - MemorySize
- - MemoryStatus
- - MemoryStringLength
- - MenuBar
- - MenuHeight
- - MenuID
- - MenuItem
- - MenuTitle
- - MergeLists
- - MeshFace
- - MeshID
- - MeshIndex
- - MeshIndexCount
- - MeshPoseCount
- - MeshPoseName
- - MeshRadius
- - MeshVertexColor
- - MeshVertexCount
- - MeshVertexNormal
- - MeshVertexPosition
- - MeshVertexTangent
- - MeshVertexTextureCoordinate
- - MessageRequester
- - Mid
- - Minute
- - Mod
- - Month
- - MouseButton
- - MouseDeltaX
- - MouseDeltaY
- - MouseLocate
- - MousePick
- - MouseRayCast
- - MouseWheel
- - MouseX
- - MouseY
- - MoveBillboard
- - MoveBillboardGroup
- - MoveCamera
- - MoveElement
- - MoveEntity
- - MoveEntityBone
- - MoveLight
- - MoveMemory
- - MoveNode
- - MoveParticleEmitter
- - MoveText3D
- - MoveXMLNode
- - MovieAudio
- - MovieHeight
- - MovieInfo
- - MovieLength
- - MovieSeek
- - MovieStatus
- - MovieWidth
- - MusicVolume
- - NaN
- - NetworkClientEvent
- - NetworkServerEvent
- - NewPrinterPage
- - NextDatabaseDriver
- - NextDatabaseRow
- - NextDirectoryEntry
- - NextElement
- - NextEnvironmentVariable
- - NextFTPDirectoryEntry
- - NextFingerprint
- - NextIPAddress
- - NextInstruction
- - NextJSONMember
- - NextLibraryFunction
- - NextMapElement
- - NextPackEntry
- - NextPreferenceGroup
- - NextPreferenceKey
- - NextRegularExpressionMatch
- - NextScreenMode
- - NextSelectedFilename
- - NextWorldCollision
- - NextXMLAttribute
- - NextXMLNode
- - NodeAnimationKeyFramePitch
- - NodeAnimationKeyFrameRoll
- - NodeAnimationKeyFrameX
- - NodeAnimationKeyFrameY
- - NodeAnimationKeyFrameYaw
- - NodeAnimationKeyFrameZ
- - NodeAnimationStatus
- - NodeFixedYawAxis
- - NodeID
- - NodeLookAt
- - NodePitch
- - NodeRoll
- - NodeX
- - NodeY
- - NodeYaw
- - NodeZ
- - NormalX
- - NormalY
- - NormalZ
- - NormalizeMesh
- - OSVersion
- - OnErrorCall
- - OnErrorDefault
- - OnErrorExit
- - OnErrorGoto
- - OpenConsole
- - OpenCryptRandom
- - OpenDatabase
- - OpenDatabaseRequester
- - OpenFTP
- - OpenFile
- - OpenFileRequester
- - OpenGLGadget
- - OpenGadgetList
- - OpenGadgetList3D
- - OpenHelp
- - OpenLibrary
- - OpenNetworkConnection
- - OpenPack
- - OpenPreferences
- - OpenScreen
- - OpenSerialPort
- - OpenSubMenu
- - OpenWindow
- - OpenWindow3D
- - OpenWindowedScreen
- - OpenXMLDialog
- - OptionGadget
- - OptionGadget3D
- - OutputDepth
- - OutputHeight
- - OutputWidth
- - PackEntryName
- - PackEntrySize
- - PackEntryType
- - PanelGadget
- - PanelGadget3D
- - ParentXMLNode
- - Parse3DScripts
- - ParseDate
- - ParseJSON
- - ParseXML
- - ParticleColorFader
- - ParticleColorRange
- - ParticleEmissionRate
- - ParticleEmitterDirection
- - ParticleEmitterID
- - ParticleEmitterX
- - ParticleEmitterY
- - ParticleEmitterZ
- - ParticleMaterial
- - ParticleSize
- - ParticleSpeedFactor
- - ParticleTimeToLive
- - ParticleVelocity
- - PathRequester
- - PauseAudioCD
- - PauseMovie
- - PauseSound
- - PauseThread
- - PeekA
- - PeekB
- - PeekC
- - PeekD
- - PeekF
- - PeekI
- - PeekL
- - PeekQ
- - PeekS
- - PeekU
- - PeekW
- - PickX
- - PickY
- - PickZ
- - Pitch
- - PlayAudioCD
- - PlayMovie
- - PlayMusic
- - PlaySound
- - PlaySound3D
- - Plot
- - Point
- - PointJoint
- - PointPick
- - PokeA
- - PokeB
- - PokeC
- - PokeD
- - PokeF
- - PokeI
- - PokeL
- - PokeQ
- - PokeS
- - PokeU
- - PokeW
- - PopListPosition
- - PopMapPosition
- - PostEvent
- - Pow
- - PreferenceComment
- - PreferenceGroup
- - PreferenceGroupName
- - PreferenceKeyName
- - PreferenceKeyValue
- - PreviousDatabaseRow
- - PreviousElement
- - PreviousXMLNode
- - Print
- - PrintN
- - PrintRequester
- - PrinterOutput
- - PrinterPageHeight
- - PrinterPageWidth
- - ProgramExitCode
- - ProgramFilename
- - ProgramID
- - ProgramParameter
- - ProgramRunning
- - ProgressBarGadget
- - ProgressBarGadget3D
- - PurifierGranularity
- - PushListPosition
- - PushMapPosition
- - RGB
- - RGBA
- - RSet
- - RTrim
- - Radian
- - RaiseError
- - Random
- - RandomData
- - RandomSeed
- - RandomizeArray
- - RandomizeList
- - RawKey
- - RayCast
- - RayCollide
- - RayPick
- - ReAllocateMemory
- - ReadAsciiCharacter
- - ReadByte
- - ReadCharacter
- - ReadConsoleData
- - ReadData
- - ReadDouble
- - ReadFile
- - ReadFloat
- - ReadInteger
- - ReadLong
- - ReadPreferenceDouble
- - ReadPreferenceFloat
- - ReadPreferenceInteger
- - ReadPreferenceLong
- - ReadPreferenceQuad
- - ReadPreferenceString
- - ReadProgramData
- - ReadProgramError
- - ReadProgramString
- - ReadQuad
- - ReadSerialPortData
- - ReadString
- - ReadStringFormat
- - ReadUnicodeCharacter
- - ReadWord
- - ReceiveFTPFile
- - ReceiveHTTPFile
- - ReceiveNetworkData
- - Red
- - RegularExpressionError
- - RegularExpressionGroup
- - RegularExpressionGroupLength
- - RegularExpressionGroupPosition
- - RegularExpressionMatchLength
- - RegularExpressionMatchPosition
- - RegularExpressionMatchString
- - RegularExpressionNamedGroup
- - RegularExpressionNamedGroupLength
- - RegularExpressionNamedGroupPosition
- - ReleaseMouse
- - ReloadMaterial
- - RemoveBillboard
- - RemoveEnvironmentVariable
- - RemoveGadgetColumn
- - RemoveGadgetItem
- - RemoveGadgetItem3D
- - RemoveJSONElement
- - RemoveJSONMember
- - RemoveKeyboardShortcut
- - RemoveMailRecipient
- - RemoveMaterialLayer
- - RemovePackFile
- - RemovePreferenceGroup
- - RemovePreferenceKey
- - RemoveString
- - RemoveSysTrayIcon
- - RemoveWindowTimer
- - RemoveXMLAttribute
- - RenameFTPFile
- - RenameFile
- - RenderWorld
- - ReplaceRegularExpression
- - ReplaceString
- - ResetGradientColors
- - ResetList
- - ResetMap
- - ResetMaterial
- - ResetProfiler
- - ResizeBillboard
- - ResizeGadget
- - ResizeGadget3D
- - ResizeImage
- - ResizeJSONElements
- - ResizeMovie
- - ResizeParticleEmitter
- - ResizeWindow
- - ResizeWindow3D
- - ResolveXMLAttributeName
- - ResolveXMLNodeName
- - ResumeAudioCD
- - ResumeMovie
- - ResumeSound
- - ResumeThread
- - ReverseString
- - RibbonEffectColor
- - RibbonEffectWidth
- - Right
- - Roll
- - RootXMLNode
- - RotateBillboardGroup
- - RotateCamera
- - RotateEntity
- - RotateEntityBone
- - RotateLight
- - RotateMaterial
- - RotateNode
- - RotateSprite
- - Round
- - RoundBox
- - RunProgram
- - SHA1FileFingerprint
- - SHA1Fingerprint
- - SaveDebugOutput
- - SaveFileRequester
- - SaveImage
- - SaveJSON
- - SaveMesh
- - SaveRenderTexture
- - SaveSprite
- - SaveTerrain
- - SaveXML
- - ScaleEntity
- - ScaleMaterial
- - ScaleNode
- - ScaleText3D
- - ScintillaGadget
- - ScintillaSendMessage
- - ScreenDepth
- - ScreenHeight
- - ScreenID
- - ScreenModeDepth
- - ScreenModeHeight
- - ScreenModeRefreshRate
- - ScreenModeWidth
- - ScreenOutput
- - ScreenWidth
- - ScrollAreaGadget
- - ScrollAreaGadget3D
- - ScrollBarGadget
- - ScrollBarGadget3D
- - ScrollMaterial
- - Second
- - SecondWorldCollisionEntity
- - SelectElement
- - SelectedFilePattern
- - SelectedFontColor
- - SelectedFontName
- - SelectedFontSize
- - SelectedFontStyle
- - SendFTPFile
- - SendMail
- - SendNetworkData
- - SendNetworkString
- - SerialPortError
- - SerialPortID
- - SerialPortTimeouts
- - ServerID
- - SetActiveGadget
- - SetActiveGadget3D
- - SetActiveWindow
- - SetActiveWindow3D
- - SetClipboardImage
- - SetClipboardText
- - SetCurrentDirectory
- - SetDatabaseBlob
- - SetDragCallback
- - SetDropCallback
- - SetEntityAnimationLength
- - SetEntityAnimationTime
- - SetEntityAnimationWeight
- - SetEntityAttribute
- - SetEntityCollisionFilter
- - SetEntityMaterial
- - SetEnvironmentVariable
- - SetFTPDirectory
- - SetFileAttributes
- - SetFileDate
- - SetFrameRate
- - SetGUITheme3D
- - SetGadgetAttribute
- - SetGadgetAttribute3D
- - SetGadgetColor
- - SetGadgetData
- - SetGadgetData3D
- - SetGadgetFont
- - SetGadgetItemAttribute
- - SetGadgetItemColor
- - SetGadgetItemData
- - SetGadgetItemData3D
- - SetGadgetItemImage
- - SetGadgetItemState
- - SetGadgetItemState3D
- - SetGadgetItemText
- - SetGadgetItemText3D
- - SetGadgetState
- - SetGadgetState3D
- - SetGadgetText
- - SetGadgetText3D
- - SetJSONArray
- - SetJSONBoolean
- - SetJSONDouble
- - SetJSONFloat
- - SetJSONInteger
- - SetJSONNull
- - SetJSONObject
- - SetJSONQuad
- - SetJSONString
- - SetJointAttribute
- - SetLightColor
- - SetMailAttribute
- - SetMailBody
- - SetMaterialAttribute
- - SetMaterialColor
- - SetMenuItemState
- - SetMenuItemText
- - SetMenuTitleText
- - SetMeshData
- - SetMeshMaterial
- - SetMusicPosition
- - SetNodeAnimationKeyFramePosition
- - SetNodeAnimationKeyFrameRotation
- - SetNodeAnimationKeyFrameScale
- - SetNodeAnimationLength
- - SetNodeAnimationTime
- - SetNodeAnimationWeight
- - SetOrientation
- - SetOrigin
- - SetRenderQueue
- - SetRuntimeDouble
- - SetRuntimeInteger
- - SetRuntimeString
- - SetSerialPortStatus
- - SetSoundFrequency
- - SetSoundPosition
- - SetTerrainTileHeightAtPoint
- - SetTerrainTileLayerBlend
- - SetToolBarButtonState
- - SetURLPart
- - SetWindowCallback
- - SetWindowColor
- - SetWindowData
- - SetWindowState
- - SetWindowTitle
- - SetWindowTitle3D
- - SetXMLAttribute
- - SetXMLEncoding
- - SetXMLNodeName
- - SetXMLNodeOffset
- - SetXMLNodeText
- - SetXMLStandalone
- - SetupTerrains
- - ShortcutGadget
- - ShowAssemblyViewer
- - ShowCallstack
- - ShowDebugOutput
- - ShowGUI
- - ShowLibraryViewer
- - ShowMemoryViewer
- - ShowProfiler
- - ShowVariableViewer
- - ShowWatchlist
- - Sign
- - SignalSemaphore
- - Sin
- - SinH
- - SkyBox
- - SkyDome
- - SliderJoint
- - SmartWindowRefresh
- - SortArray
- - SortList
- - SortStructuredArray
- - SortStructuredList
- - SoundCone3D
- - SoundID3D
- - SoundLength
- - SoundListenerLocate
- - SoundPan
- - SoundRange3D
- - SoundStatus
- - SoundVolume
- - SoundVolume3D
- - Space
- - SpinGadget
- - SpinGadget3D
- - SplinePointX
- - SplinePointY
- - SplinePointZ
- - SplineX
- - SplineY
- - SplineZ
- - SplitList
- - SplitterGadget
- - SpotLightRange
- - SpriteBlendingMode
- - SpriteCollision
- - SpriteDepth
- - SpriteHeight
- - SpriteID
- - SpriteOutput
- - SpritePixelCollision
- - SpriteQuality
- - SpriteWidth
- - Sqr
- - StartAESCipher
- - StartDrawing
- - StartEntityAnimation
- - StartNodeAnimation
- - StartPrinting
- - StartProfiler
- - StatusBarHeight
- - StatusBarID
- - StatusBarImage
- - StatusBarProgress
- - StatusBarText
- - StickyWindow
- - StopAudioCD
- - StopDrawing
- - StopEntityAnimation
- - StopMovie
- - StopMusic
- - StopNodeAnimation
- - StopPrinting
- - StopProfiler
- - StopSound
- - StopSound3D
- - Str
- - StrD
- - StrF
- - StrU
- - StringByteLength
- - StringField
- - StringGadget
- - StringGadget3D
- - SubMeshCount
- - Sun
- - SwapElements
- - SwitchCamera
- - SysTrayIconToolTip
- - Tan
- - TanH
- - TerrainHeight
- - TerrainLocate
- - TerrainMousePick
- - TerrainPhysicBody
- - TerrainRenderMode
- - TerrainTileHeightAtPosition
- - TerrainTileLayerMapSize
- - TerrainTilePointX
- - TerrainTilePointY
- - TerrainTileSize
- - Text3DAlignment
- - Text3DCaption
- - Text3DColor
- - Text3DID
- - TextGadget
- - TextGadget3D
- - TextHeight
- - TextWidth
- - TextureHeight
- - TextureID
- - TextureOutput
- - TextureWidth
- - ThreadID
- - ThreadPriority
- - ToolBarHeight
- - ToolBarID
- - ToolBarImageButton
- - ToolBarSeparator
- - ToolBarStandardButton
- - ToolBarToolTip
- - TrackBarGadget
- - TransformMesh
- - TransformSprite
- - TransparentSpriteColor
- - TreeGadget
- - Trim
- - TruncateFile
- - TryLockMutex
- - TrySemaphore
- - UCase
- - URLDecoder
- - URLEncoder
- - UnbindEvent
- - UnbindGadgetEvent
- - UnbindMenuEvent
- - UnclipOutput
- - UncompressMemory
- - UncompressPackFile
- - UncompressPackMemory
- - UnlockMutex
- - UpdateEntityAnimation
- - UpdateMesh
- - UpdateMeshBoundingBox
- - UpdateRenderTexture
- - UpdateSplinePoint
- - UpdateTerrain
- - UpdateTerrainTileLayerBlend
- - UpdateVertexPoseReference
- - UseAudioCD
- - UseBriefLZPacker
- - UseFLACSoundDecoder
- - UseGadgetList
- - UseJCALG1Packer
- - UseJPEG2000ImageDecoder
- - UseJPEG2000ImageEncoder
- - UseJPEGImageDecoder
- - UseJPEGImageEncoder
- - UseLZMAPacker
- - UseODBCDatabase
- - UseOGGSoundDecoder
- - UsePNGImageDecoder
- - UsePNGImageEncoder
- - UsePostgreSQLDatabase
- - UseSQLiteDatabase
- - UseTGAImageDecoder
- - UseTIFFImageDecoder
- - UseZipPacker
- - UserName
- - Val
- - ValD
- - ValF
- - VertexPoseReferenceCount
- - WaitProgram
- - WaitSemaphore
- - WaitThread
- - WaitWindowEvent
- - WaterColor
- - WaterHeight
- - WebGadget
- - WebGadgetPath
- - WindowBounds
- - WindowEvent
- - WindowEvent3D
- - WindowHeight
- - WindowHeight3D
- - WindowID
- - WindowID3D
- - WindowMouseX
- - WindowMouseY
- - WindowOutput
- - WindowWidth
- - WindowWidth3D
- - WindowX
- - WindowX3D
- - WindowY
- - WindowY3D
- - WorldCollisionAppliedImpulse
- - WorldCollisionContact
- - WorldCollisionNormal
- - WorldDebug
- - WorldGravity
- - WorldShadows
- - WriteAsciiCharacter
- - WriteByte
- - WriteCharacter
- - WriteConsoleData
- - WriteData
- - WriteDouble
- - WriteFloat
- - WriteInteger
- - WriteLong
- - WritePreferenceDouble
- - WritePreferenceFloat
- - WritePreferenceInteger
- - WritePreferenceLong
- - WritePreferenceQuad
- - WritePreferenceString
- - WriteProgramData
- - WriteProgramString
- - WriteProgramStringN
- - WriteQuad
- - WriteSerialPortData
- - WriteSerialPortString
- - WriteString
- - WriteStringFormat
- - WriteStringN
- - WriteUnicodeCharacter
- - WriteWord
- - XMLAttributeName
- - XMLAttributeValue
- - XMLChildCount
- - XMLError
- - XMLErrorLine
- - XMLErrorPosition
- - XMLNodeFromID
- - XMLNodeFromPath
- - XMLNodePath
- - XMLNodeType
- - XMLStatus
- - Yaw
- - Year
- - ZoomSprite
+ - ACos
+ - ACosH
+ - AESDecoder
+ - AESEncoder
+ - ASin
+ - ASinH
+ - ATan
+ - ATan2
+ - ATanH
+ - AbortFTPFile
+ - Abs
+ - Add3DArchive
+ - AddBillboard
+ - AddCipherBuffer
+ - AddDate
+ - AddElement
+ - AddEntityAnimationTime
+ - AddGadgetColumn
+ - AddGadgetItem
+ - AddGadgetItem3D
+ - AddJSONElement
+ - AddJSONMember
+ - AddKeyboardShortcut
+ - AddMailAttachment
+ - AddMailAttachmentData
+ - AddMailRecipient
+ - AddMapElement
+ - AddMaterialLayer
+ - AddNodeAnimationTime
+ - AddPackFile
+ - AddPackMemory
+ - AddSplinePoint
+ - AddStaticGeometryEntity
+ - AddStatusBarField
+ - AddSubMesh
+ - AddSysTrayIcon
+ - AddTerrainTexture
+ - AddVertexPoseReference
+ - AddWindowTimer
+ - AffectedDatabaseRows
+ - AllocateMemory
+ - AllocateStructure
+ - Alpha
+ - AlphaBlend
+ - AmbientColor
+ - AntialiasingMode
+ - ApplyEntityForce
+ - ApplyEntityImpulse
+ - ArraySize
+ - Asc
+ - AttachEntityObject
+ - AttachNodeObject
+ - AttachRibbonEffect
+ - AudioCDLength
+ - AudioCDName
+ - AudioCDStatus
+ - AudioCDTrackLength
+ - AudioCDTrackSeconds
+ - AudioCDTracks
+ - AvailableProgramOutput
+ - AvailableScreenMemory
+ - AvailableSerialPortInput
+ - AvailableSerialPortOutput
+ - BackColor
+ - Base64Decoder
+ - Base64Encoder
+ - BillboardGroupCommonDirection
+ - BillboardGroupCommonUpVector
+ - BillboardGroupID
+ - BillboardGroupMaterial
+ - BillboardGroupX
+ - BillboardGroupY
+ - BillboardGroupZ
+ - BillboardHeight
+ - BillboardLocate
+ - BillboardWidth
+ - BillboardX
+ - BillboardY
+ - BillboardZ
+ - Bin
+ - BindEvent
+ - BindGadgetEvent
+ - BindMenuEvent
+ - Blue
+ - Box
+ - BoxedGradient
+ - BuildMeshShadowVolume
+ - BuildMeshTangents
+ - BuildStaticGeometry
+ - BuildTerrain
+ - ButtonGadget
+ - ButtonGadget3D
+ - ButtonImageGadget
+ - CPUName
+ - CRC32FileFingerprint
+ - CRC32Fingerprint
+ - CalendarGadget
+ - CallCFunction
+ - CallCFunctionFast
+ - CallFunction
+ - CallFunctionFast
+ - CameraBackColor
+ - CameraDirection
+ - CameraDirectionX
+ - CameraDirectionY
+ - CameraDirectionZ
+ - CameraFOV
+ - CameraFixedYawAxis
+ - CameraFollow
+ - CameraID
+ - CameraLookAt
+ - CameraPitch
+ - CameraProjectionMode
+ - CameraProjectionX
+ - CameraProjectionY
+ - CameraRange
+ - CameraRenderMode
+ - CameraRoll
+ - CameraViewHeight
+ - CameraViewWidth
+ - CameraViewX
+ - CameraViewY
+ - CameraX
+ - CameraY
+ - CameraYaw
+ - CameraZ
+ - CanvasGadget
+ - CanvasOutput
+ - CatchImage
+ - CatchJSON
+ - CatchMusic
+ - CatchSound
+ - CatchSprite
+ - CatchXML
+ - ChangeCurrentElement
+ - ChangeGamma
+ - ChangeListIconGadgetDisplay
+ - ChangeSysTrayIcon
+ - CheckBoxGadget
+ - CheckBoxGadget3D
+ - CheckDatabaseNull
+ - CheckFTPConnection
+ - CheckFilename
+ - CheckObjectVisibility
+ - ChildXMLNode
+ - Chr
+ - Circle
+ - CircularGradient
+ - ClearBillboards
+ - ClearClipboard
+ - ClearConsole
+ - ClearDebugOutput
+ - ClearGadgetItemList
+ - ClearGadgetItems
+ - ClearGadgetItems3D
+ - ClearJSONElements
+ - ClearJSONMembers
+ - ClearList
+ - ClearMap
+ - ClearScreen
+ - ClearSpline
+ - ClipOutput
+ - ClipSprite
+ - CloseConsole
+ - CloseCryptRandom
+ - CloseDatabase
+ - CloseFTP
+ - CloseFile
+ - CloseGadgetList
+ - CloseGadgetList3D
+ - CloseHelp
+ - CloseLibrary
+ - CloseNetworkConnection
+ - CloseNetworkServer
+ - ClosePack
+ - ClosePreferences
+ - CloseProgram
+ - CloseScreen
+ - CloseSerialPort
+ - CloseSubMenu
+ - CloseWindow
+ - CloseWindow3D
+ - CocoaMessage
+ - ColorRequester
+ - ComboBoxGadget
+ - ComboBoxGadget3D
+ - CompareMemory
+ - CompareMemoryString
+ - ComposeJSON
+ - ComposeXML
+ - CompositorEffectParameter
+ - CompressMemory
+ - ComputeSpline
+ - ComputerName
+ - ConeTwistJoint
+ - ConicalGradient
+ - ConnectionID
+ - ConsoleColor
+ - ConsoleCursor
+ - ConsoleError
+ - ConsoleLocate
+ - ConsoleTitle
+ - ContainerGadget
+ - ContainerGadget3D
+ - ConvertLocalToWorldPosition
+ - ConvertWorldToLocalPosition
+ - CopyArray
+ - CopyDirectory
+ - CopyEntity
+ - CopyFile
+ - CopyImage
+ - CopyLight
+ - CopyList
+ - CopyMap
+ - CopyMaterial
+ - CopyMemory
+ - CopyMemoryString
+ - CopyMesh
+ - CopySprite
+ - CopyTexture
+ - CopyXMLNode
+ - Cos
+ - CosH
+ - CountBillboards
+ - CountCPUs
+ - CountGadgetItems
+ - CountGadgetItems3D
+ - CountLibraryFunctions
+ - CountList
+ - CountMaterialLayers
+ - CountProgramParameters
+ - CountRegularExpressionGroups
+ - CountSplinePoints
+ - CountString
+ - CreateBillboardGroup
+ - CreateCamera
+ - CreateCompositorEffect
+ - CreateCube
+ - CreateCubeMapTexture
+ - CreateCylinder
+ - CreateDialog
+ - CreateDirectory
+ - CreateEntity
+ - CreateFTPDirectory
+ - CreateFile
+ - CreateGadgetList
+ - CreateImage
+ - CreateImageMenu
+ - CreateJSON
+ - CreateLensFlareEffect
+ - CreateLight
+ - CreateLine3D
+ - CreateMail
+ - CreateMaterial
+ - CreateMenu
+ - CreateMesh
+ - CreateMutex
+ - CreateNetworkServer
+ - CreateNode
+ - CreateNodeAnimation
+ - CreateNodeAnimationKeyFrame
+ - CreatePack
+ - CreateParticleEmitter
+ - CreatePlane
+ - CreatePopupImageMenu
+ - CreatePopupMenu
+ - CreatePreferences
+ - CreateRegularExpression
+ - CreateRenderTexture
+ - CreateRibbonEffect
+ - CreateSemaphore
+ - CreateSphere
+ - CreateSpline
+ - CreateSprite
+ - CreateStaticGeometry
+ - CreateStatusBar
+ - CreateTerrain
+ - CreateText3D
+ - CreateTexture
+ - CreateThread
+ - CreateToolBar
+ - CreateVertexAnimation
+ - CreateVertexPoseKeyFrame
+ - CreateVertexTrack
+ - CreateWater
+ - CreateXML
+ - CreateXMLNode
+ - CryptRandom
+ - CryptRandomData
+ - CustomFilterCallback
+ - CustomGradient
+ - DESFingerprint
+ - DatabaseColumnIndex
+ - DatabaseColumnName
+ - DatabaseColumnSize
+ - DatabaseColumnType
+ - DatabaseColumns
+ - DatabaseDriverDescription
+ - DatabaseDriverName
+ - DatabaseError
+ - DatabaseID
+ - DatabaseQuery
+ - DatabaseUpdate
+ - Date
+ - DateGadget
+ - Day
+ - DayOfWeek
+ - DayOfYear
+ - DefaultPrinter
+ - DefineTerrainTile
+ - Degree
+ - Delay
+ - DeleteDirectory
+ - DeleteElement
+ - DeleteFTPDirectory
+ - DeleteFTPFile
+ - DeleteFile
+ - DeleteMapElement
+ - DeleteXMLNode
+ - DesktopDepth
+ - DesktopFrequency
+ - DesktopHeight
+ - DesktopMouseX
+ - DesktopMouseY
+ - DesktopName
+ - DesktopWidth
+ - DesktopX
+ - DesktopY
+ - DetachEntityObject
+ - DetachNodeObject
+ - DetachRibbonEffect
+ - DialogError
+ - DialogGadget
+ - DialogID
+ - DialogWindow
+ - DirectoryEntryAttributes
+ - DirectoryEntryDate
+ - DirectoryEntryName
+ - DirectoryEntrySize
+ - DirectoryEntryType
+ - DisableEntityBody
+ - DisableGadget
+ - DisableGadget3D
+ - DisableLightShadows
+ - DisableMaterialLighting
+ - DisableMenuItem
+ - DisableParticleEmitter
+ - DisableToolBarButton
+ - DisableWindow
+ - DisableWindow3D
+ - DisplayPopupMenu
+ - DisplaySprite
+ - DisplayTransparentSprite
+ - DoubleClickTime
+ - DragFiles
+ - DragImage
+ - DragOSFormats
+ - DragPrivate
+ - DragText
+ - DrawAlphaImage
+ - DrawImage
+ - DrawRotatedText
+ - DrawText
+ - DrawingBuffer
+ - DrawingBufferPitch
+ - DrawingBufferPixelFormat
+ - DrawingFont
+ - DrawingMode
+ - EditorGadget
+ - EditorGadget3D
+ - EjectAudioCD
+ - ElapsedMilliseconds
+ - Ellipse
+ - EllipticalGradient
+ - EnableGadgetDrop
+ - EnableGraphicalConsole
+ - EnableHingeJointAngularMotor
+ - EnableManualEntityBoneControl
+ - EnableWindowDrop
+ - EnableWorldCollisions
+ - EnableWorldPhysics
+ - EncodeImage
+ - Engine3DStatus
+ - EntityAngularFactor
+ - EntityAnimationBlendMode
+ - EntityAnimationStatus
+ - EntityBonePitch
+ - EntityBoneRoll
+ - EntityBoneX
+ - EntityBoneY
+ - EntityBoneYaw
+ - EntityBoneZ
+ - EntityBoundingBox
+ - EntityCollide
+ - EntityCubeMapTexture
+ - EntityCustomParameter
+ - EntityFixedYawAxis
+ - EntityID
+ - EntityLinearFactor
+ - EntityLookAt
+ - EntityParentNode
+ - EntityPhysicBody
+ - EntityPitch
+ - EntityRenderMode
+ - EntityRoll
+ - EntityVelocity
+ - EntityX
+ - EntityY
+ - EntityYaw
+ - EntityZ
+ - EnvironmentVariableName
+ - EnvironmentVariableValue
+ - Eof
+ - ErrorAddress
+ - ErrorCode
+ - ErrorFile
+ - ErrorLine
+ - ErrorMessage
+ - ErrorRegister
+ - ErrorTargetAddress
+ - EventClient
+ - EventData
+ - EventDropAction
+ - EventDropBuffer
+ - EventDropFiles
+ - EventDropImage
+ - EventDropPrivate
+ - EventDropSize
+ - EventDropText
+ - EventDropType
+ - EventDropX
+ - EventDropY
+ - EventGadget
+ - EventGadget3D
+ - EventMenu
+ - EventServer
+ - EventTimer
+ - EventType
+ - EventType3D
+ - EventWindow
+ - EventWindow3D
+ - EventlParam
+ - EventwParam
+ - ExamineAssembly
+ - ExamineDatabaseDrivers
+ - ExamineDesktops
+ - ExamineDirectory
+ - ExamineEnvironmentVariables
+ - ExamineFTPDirectory
+ - ExamineIPAddresses
+ - ExamineJSONMembers
+ - ExamineJoystick
+ - ExamineKeyboard
+ - ExamineLibraryFunctions
+ - ExamineMD5Fingerprint
+ - ExamineMouse
+ - ExaminePack
+ - ExaminePreferenceGroups
+ - ExaminePreferenceKeys
+ - ExamineRegularExpression
+ - ExamineSHA1Fingerprint
+ - ExamineScreenModes
+ - ExamineWorldCollisions
+ - ExamineXMLAttributes
+ - Exp
+ - ExplorerComboGadget
+ - ExplorerListGadget
+ - ExplorerTreeGadget
+ - ExportJSON
+ - ExportJSONSize
+ - ExportXML
+ - ExportXMLSize
+ - ExtractJSONArray
+ - ExtractJSONList
+ - ExtractJSONMap
+ - ExtractJSONStructure
+ - ExtractRegularExpression
+ - ExtractXMLArray
+ - ExtractXMLList
+ - ExtractXMLMap
+ - ExtractXMLStructure
+ - FTPDirectoryEntryAttributes
+ - FTPDirectoryEntryDate
+ - FTPDirectoryEntryName
+ - FTPDirectoryEntryRaw
+ - FTPDirectoryEntrySize
+ - FTPDirectoryEntryType
+ - FTPProgress
+ - FetchEntityMaterial
+ - FetchOrientation
+ - FileBuffersSize
+ - FileID
+ - FileSeek
+ - FileSize
+ - FillArea
+ - FillMemory
+ - FindMapElement
+ - FindString
+ - FinishCipher
+ - FinishDatabaseQuery
+ - FinishDirectory
+ - FinishFTPDirectory
+ - FinishFingerprint
+ - FinishMesh
+ - FirstDatabaseRow
+ - FirstElement
+ - FirstWorldCollisionEntity
+ - FlipBuffers
+ - FlushFileBuffers
+ - Fog
+ - FontID
+ - FontRequester
+ - FormatDate
+ - FormatXML
+ - FrameGadget
+ - FrameGadget3D
+ - FreeArray
+ - FreeBillboardGroup
+ - FreeCamera
+ - FreeDialog
+ - FreeEffect
+ - FreeEntity
+ - FreeEntityJoints
+ - FreeFont
+ - FreeGadget
+ - FreeGadget3D
+ - FreeIP
+ - FreeImage
+ - FreeJSON
+ - FreeJoint
+ - FreeLight
+ - FreeList
+ - FreeMail
+ - FreeMap
+ - FreeMaterial
+ - FreeMemory
+ - FreeMenu
+ - FreeMesh
+ - FreeMovie
+ - FreeMusic
+ - FreeMutex
+ - FreeNode
+ - FreeNodeAnimation
+ - FreeParticleEmitter
+ - FreeRegularExpression
+ - FreeSemaphore
+ - FreeSound
+ - FreeSound3D
+ - FreeSpline
+ - FreeSprite
+ - FreeStaticGeometry
+ - FreeStatusBar
+ - FreeStructure
+ - FreeTerrain
+ - FreeText3D
+ - FreeTexture
+ - FreeToolBar
+ - FreeWater
+ - FreeXML
+ - FrontColor
+ - GadgetHeight
+ - GadgetHeight3D
+ - GadgetID
+ - GadgetID3D
+ - GadgetItemID
+ - GadgetToolTip
+ - GadgetToolTip3D
+ - GadgetType
+ - GadgetType3D
+ - GadgetWidth
+ - GadgetWidth3D
+ - GadgetX
+ - GadgetX3D
+ - GadgetY
+ - GadgetY3D
+ - GetActiveGadget
+ - GetActiveGadget3D
+ - GetActiveWindow
+ - GetActiveWindow3D
+ - GetClientIP
+ - GetClientPort
+ - GetClipboardImage
+ - GetClipboardText
+ - GetCurrentDirectory
+ - GetDatabaseBlob
+ - GetDatabaseDouble
+ - GetDatabaseFloat
+ - GetDatabaseLong
+ - GetDatabaseQuad
+ - GetDatabaseString
+ - GetEntityAnimationLength
+ - GetEntityAnimationTime
+ - GetEntityAnimationWeight
+ - GetEntityAttribute
+ - GetEntityCollisionGroup
+ - GetEntityCollisionMask
+ - GetEnvironmentVariable
+ - GetExtensionPart
+ - GetFTPDirectory
+ - GetFileAttributes
+ - GetFileDate
+ - GetFilePart
+ - GetFunction
+ - GetFunctionEntry
+ - GetGadgetAttribute
+ - GetGadgetAttribute3D
+ - GetGadgetColor
+ - GetGadgetData
+ - GetGadgetData3D
+ - GetGadgetFont
+ - GetGadgetItemAttribute
+ - GetGadgetItemColor
+ - GetGadgetItemData
+ - GetGadgetItemData3D
+ - GetGadgetItemState
+ - GetGadgetItemState3D
+ - GetGadgetItemText
+ - GetGadgetItemText3D
+ - GetGadgetState
+ - GetGadgetState3D
+ - GetGadgetText
+ - GetGadgetText3D
+ - GetHTTPHeader
+ - GetHomeDirectory
+ - GetJSONBoolean
+ - GetJSONDouble
+ - GetJSONElement
+ - GetJSONFloat
+ - GetJSONInteger
+ - GetJSONMember
+ - GetJSONQuad
+ - GetJSONString
+ - GetJointAttribute
+ - GetLightColor
+ - GetMailAttribute
+ - GetMailBody
+ - GetMaterialAttribute
+ - GetMaterialColor
+ - GetMenuItemState
+ - GetMenuItemText
+ - GetMenuTitleText
+ - GetMeshData
+ - GetMusicPosition
+ - GetMusicRow
+ - GetNodeAnimationKeyFrameTime
+ - GetNodeAnimationLength
+ - GetNodeAnimationTime
+ - GetNodeAnimationWeight
+ - GetOriginX
+ - GetOriginY
+ - GetPathPart
+ - GetRuntimeDouble
+ - GetRuntimeInteger
+ - GetRuntimeString
+ - GetScriptMaterial
+ - GetScriptParticleEmitter
+ - GetScriptTexture
+ - GetSerialPortStatus
+ - GetSoundFrequency
+ - GetSoundPosition
+ - GetTemporaryDirectory
+ - GetTerrainTileHeightAtPoint
+ - GetTerrainTileLayerBlend
+ - GetToolBarButtonState
+ - GetURLPart
+ - GetW
+ - GetWindowColor
+ - GetWindowData
+ - GetWindowState
+ - GetWindowTitle
+ - GetWindowTitle3D
+ - GetX
+ - GetXMLAttribute
+ - GetXMLEncoding
+ - GetXMLNodeName
+ - GetXMLNodeOffset
+ - GetXMLNodeText
+ - GetXMLStandalone
+ - GetY
+ - GetZ
+ - GrabDrawingImage
+ - GrabImage
+ - GrabSprite
+ - GradientColor
+ - Green
+ - Hex
+ - HideBillboardGroup
+ - HideEffect
+ - HideEntity
+ - HideGadget
+ - HideGadget3D
+ - HideLight
+ - HideMenu
+ - HideParticleEmitter
+ - HideWindow
+ - HideWindow3D
+ - HingeJoint
+ - HingeJointMotorTarget
+ - HostName
+ - Hour
+ - HyperLinkGadget
+ - IPAddressField
+ - IPAddressGadget
+ - IPString
+ - ImageDepth
+ - ImageFormat
+ - ImageGadget
+ - ImageGadget3D
+ - ImageHeight
+ - ImageID
+ - ImageOutput
+ - ImageWidth
+ - Infinity
+ - InitAudioCD
+ - InitEngine3D
+ - InitJoystick
+ - InitKeyboard
+ - InitMouse
+ - InitMovie
+ - InitNetwork
+ - InitScintilla
+ - InitSound
+ - InitSprite
+ - Inkey
+ - Input
+ - InputEvent3D
+ - InputRequester
+ - InsertElement
+ - InsertJSONArray
+ - InsertJSONList
+ - InsertJSONMap
+ - InsertJSONStructure
+ - InsertString
+ - InsertXMLArray
+ - InsertXMLList
+ - InsertXMLMap
+ - InsertXMLStructure
+ - InstructionAddress
+ - InstructionString
+ - Int
+ - IntQ
+ - IsBillboardGroup
+ - IsCamera
+ - IsDatabase
+ - IsDialog
+ - IsDirectory
+ - IsEffect
+ - IsEntity
+ - IsFile
+ - IsFingerprint
+ - IsFont
+ - IsFtp
+ - IsGadget
+ - IsGadget3D
+ - IsImage
+ - IsInfinity
+ - IsJSON
+ - IsLibrary
+ - IsLight
+ - IsMail
+ - IsMaterial
+ - IsMenu
+ - IsMesh
+ - IsMovie
+ - IsMusic
+ - IsNaN
+ - IsNode
+ - IsParticleEmitter
+ - IsProgram
+ - IsRegularExpression
+ - IsRuntime
+ - IsScreenActive
+ - IsSerialPort
+ - IsSound
+ - IsSound3D
+ - IsSprite
+ - IsStaticGeometry
+ - IsStatusBar
+ - IsSysTrayIcon
+ - IsText3D
+ - IsTexture
+ - IsThread
+ - IsToolBar
+ - IsWindow
+ - IsWindow3D
+ - IsXML
+ - JSONArraySize
+ - JSONErrorLine
+ - JSONErrorMessage
+ - JSONErrorPosition
+ - JSONMemberKey
+ - JSONMemberValue
+ - JSONObjectSize
+ - JSONType
+ - JSONValue
+ - JoystickAxisX
+ - JoystickAxisY
+ - JoystickAxisZ
+ - JoystickButton
+ - JoystickName
+ - KeyboardInkey
+ - KeyboardMode
+ - KeyboardPushed
+ - KeyboardReleased
+ - KillProgram
+ - KillThread
+ - LCase
+ - LSet
+ - LTrim
+ - LastElement
+ - Left
+ - Len
+ - LensFlareEffectColor
+ - LibraryFunctionAddress
+ - LibraryFunctionName
+ - LibraryID
+ - LightAttenuation
+ - LightDirection
+ - LightDirectionX
+ - LightDirectionY
+ - LightDirectionZ
+ - LightID
+ - LightLookAt
+ - LightPitch
+ - LightRoll
+ - LightX
+ - LightY
+ - LightYaw
+ - LightZ
+ - Line
+ - LineXY
+ - LinearGradient
+ - ListIconGadget
+ - ListIndex
+ - ListSize
+ - ListViewGadget
+ - ListViewGadget3D
+ - LoadFont
+ - LoadImage
+ - LoadJSON
+ - LoadMesh
+ - LoadMovie
+ - LoadMusic
+ - LoadSound
+ - LoadSound3D
+ - LoadSprite
+ - LoadTexture
+ - LoadWorld
+ - LoadXML
+ - Loc
+ - LockMutex
+ - Lof
+ - Log
+ - Log10
+ - MD5FileFingerprint
+ - MD5Fingerprint
+ - MDIGadget
+ - MailProgress
+ - MainXMLNode
+ - MakeIPAddress
+ - MapKey
+ - MapSize
+ - MatchRegularExpression
+ - MaterialBlendingMode
+ - MaterialCullingMode
+ - MaterialFilteringMode
+ - MaterialFog
+ - MaterialID
+ - MaterialShadingMode
+ - MaterialShininess
+ - MemorySize
+ - MemoryStatus
+ - MemoryStringLength
+ - MenuBar
+ - MenuHeight
+ - MenuID
+ - MenuItem
+ - MenuTitle
+ - MergeLists
+ - MeshFace
+ - MeshID
+ - MeshIndex
+ - MeshIndexCount
+ - MeshPoseCount
+ - MeshPoseName
+ - MeshRadius
+ - MeshVertexColor
+ - MeshVertexCount
+ - MeshVertexNormal
+ - MeshVertexPosition
+ - MeshVertexTangent
+ - MeshVertexTextureCoordinate
+ - MessageRequester
+ - Mid
+ - Minute
+ - Mod
+ - Month
+ - MouseButton
+ - MouseDeltaX
+ - MouseDeltaY
+ - MouseLocate
+ - MousePick
+ - MouseRayCast
+ - MouseWheel
+ - MouseX
+ - MouseY
+ - MoveBillboard
+ - MoveBillboardGroup
+ - MoveCamera
+ - MoveElement
+ - MoveEntity
+ - MoveEntityBone
+ - MoveLight
+ - MoveMemory
+ - MoveNode
+ - MoveParticleEmitter
+ - MoveText3D
+ - MoveXMLNode
+ - MovieAudio
+ - MovieHeight
+ - MovieInfo
+ - MovieLength
+ - MovieSeek
+ - MovieStatus
+ - MovieWidth
+ - MusicVolume
+ - NaN
+ - NetworkClientEvent
+ - NetworkServerEvent
+ - NewPrinterPage
+ - NextDatabaseDriver
+ - NextDatabaseRow
+ - NextDirectoryEntry
+ - NextElement
+ - NextEnvironmentVariable
+ - NextFTPDirectoryEntry
+ - NextFingerprint
+ - NextIPAddress
+ - NextInstruction
+ - NextJSONMember
+ - NextLibraryFunction
+ - NextMapElement
+ - NextPackEntry
+ - NextPreferenceGroup
+ - NextPreferenceKey
+ - NextRegularExpressionMatch
+ - NextScreenMode
+ - NextSelectedFilename
+ - NextWorldCollision
+ - NextXMLAttribute
+ - NextXMLNode
+ - NodeAnimationKeyFramePitch
+ - NodeAnimationKeyFrameRoll
+ - NodeAnimationKeyFrameX
+ - NodeAnimationKeyFrameY
+ - NodeAnimationKeyFrameYaw
+ - NodeAnimationKeyFrameZ
+ - NodeAnimationStatus
+ - NodeFixedYawAxis
+ - NodeID
+ - NodeLookAt
+ - NodePitch
+ - NodeRoll
+ - NodeX
+ - NodeY
+ - NodeYaw
+ - NodeZ
+ - NormalX
+ - NormalY
+ - NormalZ
+ - NormalizeMesh
+ - OSVersion
+ - OnErrorCall
+ - OnErrorDefault
+ - OnErrorExit
+ - OnErrorGoto
+ - OpenConsole
+ - OpenCryptRandom
+ - OpenDatabase
+ - OpenDatabaseRequester
+ - OpenFTP
+ - OpenFile
+ - OpenFileRequester
+ - OpenGLGadget
+ - OpenGadgetList
+ - OpenGadgetList3D
+ - OpenHelp
+ - OpenLibrary
+ - OpenNetworkConnection
+ - OpenPack
+ - OpenPreferences
+ - OpenScreen
+ - OpenSerialPort
+ - OpenSubMenu
+ - OpenWindow
+ - OpenWindow3D
+ - OpenWindowedScreen
+ - OpenXMLDialog
+ - OptionGadget
+ - OptionGadget3D
+ - OutputDepth
+ - OutputHeight
+ - OutputWidth
+ - PackEntryName
+ - PackEntrySize
+ - PackEntryType
+ - PanelGadget
+ - PanelGadget3D
+ - ParentXMLNode
+ - Parse3DScripts
+ - ParseDate
+ - ParseJSON
+ - ParseXML
+ - ParticleColorFader
+ - ParticleColorRange
+ - ParticleEmissionRate
+ - ParticleEmitterDirection
+ - ParticleEmitterID
+ - ParticleEmitterX
+ - ParticleEmitterY
+ - ParticleEmitterZ
+ - ParticleMaterial
+ - ParticleSize
+ - ParticleSpeedFactor
+ - ParticleTimeToLive
+ - ParticleVelocity
+ - PathRequester
+ - PauseAudioCD
+ - PauseMovie
+ - PauseSound
+ - PauseThread
+ - PeekA
+ - PeekB
+ - PeekC
+ - PeekD
+ - PeekF
+ - PeekI
+ - PeekL
+ - PeekQ
+ - PeekS
+ - PeekU
+ - PeekW
+ - PickX
+ - PickY
+ - PickZ
+ - Pitch
+ - PlayAudioCD
+ - PlayMovie
+ - PlayMusic
+ - PlaySound
+ - PlaySound3D
+ - Plot
+ - Point
+ - PointJoint
+ - PointPick
+ - PokeA
+ - PokeB
+ - PokeC
+ - PokeD
+ - PokeF
+ - PokeI
+ - PokeL
+ - PokeQ
+ - PokeS
+ - PokeU
+ - PokeW
+ - PopListPosition
+ - PopMapPosition
+ - PostEvent
+ - Pow
+ - PreferenceComment
+ - PreferenceGroup
+ - PreferenceGroupName
+ - PreferenceKeyName
+ - PreferenceKeyValue
+ - PreviousDatabaseRow
+ - PreviousElement
+ - PreviousXMLNode
+ - Print
+ - PrintN
+ - PrintRequester
+ - PrinterOutput
+ - PrinterPageHeight
+ - PrinterPageWidth
+ - ProgramExitCode
+ - ProgramFilename
+ - ProgramID
+ - ProgramParameter
+ - ProgramRunning
+ - ProgressBarGadget
+ - ProgressBarGadget3D
+ - PurifierGranularity
+ - PushListPosition
+ - PushMapPosition
+ - RGB
+ - RGBA
+ - RSet
+ - RTrim
+ - Radian
+ - RaiseError
+ - Random
+ - RandomData
+ - RandomSeed
+ - RandomizeArray
+ - RandomizeList
+ - RawKey
+ - RayCast
+ - RayCollide
+ - RayPick
+ - ReAllocateMemory
+ - ReadAsciiCharacter
+ - ReadByte
+ - ReadCharacter
+ - ReadConsoleData
+ - ReadData
+ - ReadDouble
+ - ReadFile
+ - ReadFloat
+ - ReadInteger
+ - ReadLong
+ - ReadPreferenceDouble
+ - ReadPreferenceFloat
+ - ReadPreferenceInteger
+ - ReadPreferenceLong
+ - ReadPreferenceQuad
+ - ReadPreferenceString
+ - ReadProgramData
+ - ReadProgramError
+ - ReadProgramString
+ - ReadQuad
+ - ReadSerialPortData
+ - ReadString
+ - ReadStringFormat
+ - ReadUnicodeCharacter
+ - ReadWord
+ - ReceiveFTPFile
+ - ReceiveHTTPFile
+ - ReceiveNetworkData
+ - Red
+ - RegularExpressionError
+ - RegularExpressionGroup
+ - RegularExpressionGroupLength
+ - RegularExpressionGroupPosition
+ - RegularExpressionMatchLength
+ - RegularExpressionMatchPosition
+ - RegularExpressionMatchString
+ - RegularExpressionNamedGroup
+ - RegularExpressionNamedGroupLength
+ - RegularExpressionNamedGroupPosition
+ - ReleaseMouse
+ - ReloadMaterial
+ - RemoveBillboard
+ - RemoveEnvironmentVariable
+ - RemoveGadgetColumn
+ - RemoveGadgetItem
+ - RemoveGadgetItem3D
+ - RemoveJSONElement
+ - RemoveJSONMember
+ - RemoveKeyboardShortcut
+ - RemoveMailRecipient
+ - RemoveMaterialLayer
+ - RemovePackFile
+ - RemovePreferenceGroup
+ - RemovePreferenceKey
+ - RemoveString
+ - RemoveSysTrayIcon
+ - RemoveWindowTimer
+ - RemoveXMLAttribute
+ - RenameFTPFile
+ - RenameFile
+ - RenderWorld
+ - ReplaceRegularExpression
+ - ReplaceString
+ - ResetGradientColors
+ - ResetList
+ - ResetMap
+ - ResetMaterial
+ - ResetProfiler
+ - ResizeBillboard
+ - ResizeGadget
+ - ResizeGadget3D
+ - ResizeImage
+ - ResizeJSONElements
+ - ResizeMovie
+ - ResizeParticleEmitter
+ - ResizeWindow
+ - ResizeWindow3D
+ - ResolveXMLAttributeName
+ - ResolveXMLNodeName
+ - ResumeAudioCD
+ - ResumeMovie
+ - ResumeSound
+ - ResumeThread
+ - ReverseString
+ - RibbonEffectColor
+ - RibbonEffectWidth
+ - Right
+ - Roll
+ - RootXMLNode
+ - RotateBillboardGroup
+ - RotateCamera
+ - RotateEntity
+ - RotateEntityBone
+ - RotateLight
+ - RotateMaterial
+ - RotateNode
+ - RotateSprite
+ - Round
+ - RoundBox
+ - RunProgram
+ - SHA1FileFingerprint
+ - SHA1Fingerprint
+ - SaveDebugOutput
+ - SaveFileRequester
+ - SaveImage
+ - SaveJSON
+ - SaveMesh
+ - SaveRenderTexture
+ - SaveSprite
+ - SaveTerrain
+ - SaveXML
+ - ScaleEntity
+ - ScaleMaterial
+ - ScaleNode
+ - ScaleText3D
+ - ScintillaGadget
+ - ScintillaSendMessage
+ - ScreenDepth
+ - ScreenHeight
+ - ScreenID
+ - ScreenModeDepth
+ - ScreenModeHeight
+ - ScreenModeRefreshRate
+ - ScreenModeWidth
+ - ScreenOutput
+ - ScreenWidth
+ - ScrollAreaGadget
+ - ScrollAreaGadget3D
+ - ScrollBarGadget
+ - ScrollBarGadget3D
+ - ScrollMaterial
+ - Second
+ - SecondWorldCollisionEntity
+ - SelectElement
+ - SelectedFilePattern
+ - SelectedFontColor
+ - SelectedFontName
+ - SelectedFontSize
+ - SelectedFontStyle
+ - SendFTPFile
+ - SendMail
+ - SendNetworkData
+ - SendNetworkString
+ - SerialPortError
+ - SerialPortID
+ - SerialPortTimeouts
+ - ServerID
+ - SetActiveGadget
+ - SetActiveGadget3D
+ - SetActiveWindow
+ - SetActiveWindow3D
+ - SetClipboardImage
+ - SetClipboardText
+ - SetCurrentDirectory
+ - SetDatabaseBlob
+ - SetDragCallback
+ - SetDropCallback
+ - SetEntityAnimationLength
+ - SetEntityAnimationTime
+ - SetEntityAnimationWeight
+ - SetEntityAttribute
+ - SetEntityCollisionFilter
+ - SetEntityMaterial
+ - SetEnvironmentVariable
+ - SetFTPDirectory
+ - SetFileAttributes
+ - SetFileDate
+ - SetFrameRate
+ - SetGUITheme3D
+ - SetGadgetAttribute
+ - SetGadgetAttribute3D
+ - SetGadgetColor
+ - SetGadgetData
+ - SetGadgetData3D
+ - SetGadgetFont
+ - SetGadgetItemAttribute
+ - SetGadgetItemColor
+ - SetGadgetItemData
+ - SetGadgetItemData3D
+ - SetGadgetItemImage
+ - SetGadgetItemState
+ - SetGadgetItemState3D
+ - SetGadgetItemText
+ - SetGadgetItemText3D
+ - SetGadgetState
+ - SetGadgetState3D
+ - SetGadgetText
+ - SetGadgetText3D
+ - SetJSONArray
+ - SetJSONBoolean
+ - SetJSONDouble
+ - SetJSONFloat
+ - SetJSONInteger
+ - SetJSONNull
+ - SetJSONObject
+ - SetJSONQuad
+ - SetJSONString
+ - SetJointAttribute
+ - SetLightColor
+ - SetMailAttribute
+ - SetMailBody
+ - SetMaterialAttribute
+ - SetMaterialColor
+ - SetMenuItemState
+ - SetMenuItemText
+ - SetMenuTitleText
+ - SetMeshData
+ - SetMeshMaterial
+ - SetMusicPosition
+ - SetNodeAnimationKeyFramePosition
+ - SetNodeAnimationKeyFrameRotation
+ - SetNodeAnimationKeyFrameScale
+ - SetNodeAnimationLength
+ - SetNodeAnimationTime
+ - SetNodeAnimationWeight
+ - SetOrientation
+ - SetOrigin
+ - SetRenderQueue
+ - SetRuntimeDouble
+ - SetRuntimeInteger
+ - SetRuntimeString
+ - SetSerialPortStatus
+ - SetSoundFrequency
+ - SetSoundPosition
+ - SetTerrainTileHeightAtPoint
+ - SetTerrainTileLayerBlend
+ - SetToolBarButtonState
+ - SetURLPart
+ - SetWindowCallback
+ - SetWindowColor
+ - SetWindowData
+ - SetWindowState
+ - SetWindowTitle
+ - SetWindowTitle3D
+ - SetXMLAttribute
+ - SetXMLEncoding
+ - SetXMLNodeName
+ - SetXMLNodeOffset
+ - SetXMLNodeText
+ - SetXMLStandalone
+ - SetupTerrains
+ - ShortcutGadget
+ - ShowAssemblyViewer
+ - ShowCallstack
+ - ShowDebugOutput
+ - ShowGUI
+ - ShowLibraryViewer
+ - ShowMemoryViewer
+ - ShowProfiler
+ - ShowVariableViewer
+ - ShowWatchlist
+ - Sign
+ - SignalSemaphore
+ - Sin
+ - SinH
+ - SkyBox
+ - SkyDome
+ - SliderJoint
+ - SmartWindowRefresh
+ - SortArray
+ - SortList
+ - SortStructuredArray
+ - SortStructuredList
+ - SoundCone3D
+ - SoundID3D
+ - SoundLength
+ - SoundListenerLocate
+ - SoundPan
+ - SoundRange3D
+ - SoundStatus
+ - SoundVolume
+ - SoundVolume3D
+ - Space
+ - SpinGadget
+ - SpinGadget3D
+ - SplinePointX
+ - SplinePointY
+ - SplinePointZ
+ - SplineX
+ - SplineY
+ - SplineZ
+ - SplitList
+ - SplitterGadget
+ - SpotLightRange
+ - SpriteBlendingMode
+ - SpriteCollision
+ - SpriteDepth
+ - SpriteHeight
+ - SpriteID
+ - SpriteOutput
+ - SpritePixelCollision
+ - SpriteQuality
+ - SpriteWidth
+ - Sqr
+ - StartAESCipher
+ - StartDrawing
+ - StartEntityAnimation
+ - StartNodeAnimation
+ - StartPrinting
+ - StartProfiler
+ - StatusBarHeight
+ - StatusBarID
+ - StatusBarImage
+ - StatusBarProgress
+ - StatusBarText
+ - StickyWindow
+ - StopAudioCD
+ - StopDrawing
+ - StopEntityAnimation
+ - StopMovie
+ - StopMusic
+ - StopNodeAnimation
+ - StopPrinting
+ - StopProfiler
+ - StopSound
+ - StopSound3D
+ - Str
+ - StrD
+ - StrF
+ - StrU
+ - StringByteLength
+ - StringField
+ - StringGadget
+ - StringGadget3D
+ - SubMeshCount
+ - Sun
+ - SwapElements
+ - SwitchCamera
+ - SysTrayIconToolTip
+ - Tan
+ - TanH
+ - TerrainHeight
+ - TerrainLocate
+ - TerrainMousePick
+ - TerrainPhysicBody
+ - TerrainRenderMode
+ - TerrainTileHeightAtPosition
+ - TerrainTileLayerMapSize
+ - TerrainTilePointX
+ - TerrainTilePointY
+ - TerrainTileSize
+ - Text3DAlignment
+ - Text3DCaption
+ - Text3DColor
+ - Text3DID
+ - TextGadget
+ - TextGadget3D
+ - TextHeight
+ - TextWidth
+ - TextureHeight
+ - TextureID
+ - TextureOutput
+ - TextureWidth
+ - ThreadID
+ - ThreadPriority
+ - ToolBarHeight
+ - ToolBarID
+ - ToolBarImageButton
+ - ToolBarSeparator
+ - ToolBarStandardButton
+ - ToolBarToolTip
+ - TrackBarGadget
+ - TransformMesh
+ - TransformSprite
+ - TransparentSpriteColor
+ - TreeGadget
+ - Trim
+ - TruncateFile
+ - TryLockMutex
+ - TrySemaphore
+ - UCase
+ - URLDecoder
+ - URLEncoder
+ - UnbindEvent
+ - UnbindGadgetEvent
+ - UnbindMenuEvent
+ - UnclipOutput
+ - UncompressMemory
+ - UncompressPackFile
+ - UncompressPackMemory
+ - UnlockMutex
+ - UpdateEntityAnimation
+ - UpdateMesh
+ - UpdateMeshBoundingBox
+ - UpdateRenderTexture
+ - UpdateSplinePoint
+ - UpdateTerrain
+ - UpdateTerrainTileLayerBlend
+ - UpdateVertexPoseReference
+ - UseAudioCD
+ - UseBriefLZPacker
+ - UseFLACSoundDecoder
+ - UseGadgetList
+ - UseJCALG1Packer
+ - UseJPEG2000ImageDecoder
+ - UseJPEG2000ImageEncoder
+ - UseJPEGImageDecoder
+ - UseJPEGImageEncoder
+ - UseLZMAPacker
+ - UseODBCDatabase
+ - UseOGGSoundDecoder
+ - UsePNGImageDecoder
+ - UsePNGImageEncoder
+ - UsePostgreSQLDatabase
+ - UseSQLiteDatabase
+ - UseTGAImageDecoder
+ - UseTIFFImageDecoder
+ - UseZipPacker
+ - UserName
+ - Val
+ - ValD
+ - ValF
+ - VertexPoseReferenceCount
+ - WaitProgram
+ - WaitSemaphore
+ - WaitThread
+ - WaitWindowEvent
+ - WaterColor
+ - WaterHeight
+ - WebGadget
+ - WebGadgetPath
+ - WindowBounds
+ - WindowEvent
+ - WindowEvent3D
+ - WindowHeight
+ - WindowHeight3D
+ - WindowID
+ - WindowID3D
+ - WindowMouseX
+ - WindowMouseY
+ - WindowOutput
+ - WindowWidth
+ - WindowWidth3D
+ - WindowX
+ - WindowX3D
+ - WindowY
+ - WindowY3D
+ - WorldCollisionAppliedImpulse
+ - WorldCollisionContact
+ - WorldCollisionNormal
+ - WorldDebug
+ - WorldGravity
+ - WorldShadows
+ - WriteAsciiCharacter
+ - WriteByte
+ - WriteCharacter
+ - WriteConsoleData
+ - WriteData
+ - WriteDouble
+ - WriteFloat
+ - WriteInteger
+ - WriteLong
+ - WritePreferenceDouble
+ - WritePreferenceFloat
+ - WritePreferenceInteger
+ - WritePreferenceLong
+ - WritePreferenceQuad
+ - WritePreferenceString
+ - WriteProgramData
+ - WriteProgramString
+ - WriteProgramStringN
+ - WriteQuad
+ - WriteSerialPortData
+ - WriteSerialPortString
+ - WriteString
+ - WriteStringFormat
+ - WriteStringN
+ - WriteUnicodeCharacter
+ - WriteWord
+ - XMLAttributeName
+ - XMLAttributeValue
+ - XMLChildCount
+ - XMLError
+ - XMLErrorLine
+ - XMLErrorPosition
+ - XMLNodeFromID
+ - XMLNodeFromPath
+ - XMLNodePath
+ - XMLNodeType
+ - XMLStatus
+ - Yaw
+ - Year
+ - ZoomSprite
diff --git a/kate/data/q.xml b/kate/data/q.xml
index 5d7ac676b..c8fbc8b23 100644
--- a/kate/data/q.xml
+++ b/kate/data/q.xml
@@ -1,6 +1,6 @@
-
+
- .Q.addmonths
diff --git a/kate/data/relaxng.xml b/kate/data/relaxng.xml
index fe593dc85..28fdb3139 100644
--- a/kate/data/relaxng.xml
+++ b/kate/data/relaxng.xml
@@ -16,7 +16,7 @@
- Namespace rules
-->
-
- - anyName
- - attribute
- - choice
- - data
- - define
- - div
- - element
- - empty
- - except
- - externalRef
- - grammar
- - group
- - include
- - interleave
- - list
- - mixed
- - name
- - notAllowed
- - nsName
- - oneOrMore
- - optional
- - param
- - parentRef
- - ref
- - start
- - text
- - value
- - zeroOrMore
+ - anyName
+ - attribute
+ - choice
+ - data
+ - define
+ - div
+ - element
+ - empty
+ - except
+ - externalRef
+ - grammar
+ - group
+ - include
+ - interleave
+ - list
+ - mixed
+ - name
+ - notAllowed
+ - nsName
+ - oneOrMore
+ - optional
+ - param
+ - parentRef
+ - ref
+ - start
+ - text
+ - value
+ - zeroOrMore
@@ -71,41 +71,43 @@
-
+
-
+
-
+
-
+
-
+
-
+
+
-
+
+
diff --git a/kate/data/relaxngcompact.xml b/kate/data/relaxngcompact.xml
index 847c2a073..6bcc48475 100644
--- a/kate/data/relaxngcompact.xml
+++ b/kate/data/relaxngcompact.xml
@@ -3,73 +3,73 @@
- - default
- - datatypes
- - div
- - empty
- - external
- - grammar
- - include
- - inherit
- - list
- - mixed
- - namespace
- - notAllowed
- - parent
- - start
- - token
+ - default
+ - datatypes
+ - div
+ - empty
+ - external
+ - grammar
+ - include
+ - inherit
+ - list
+ - mixed
+ - namespace
+ - notAllowed
+ - parent
+ - start
+ - token
- - attribute
- - element
+ - attribute
+ - element
- - string
- - text
- - xsd:anyURI
- - xsd:base64Binary
- - xsd:boolean
- - xsd:byte
- - xsd:date
- - xsd:dateTime
- - xsd:decimal
- - xsd:double
- - xsd:duration
- - xsd:ENTITIES
- - xsd:ENTITY
- - xsd:float
- - xsd:gDay
- - xsd:gMonth
- - xsd:gMonthDay
- - xsd:gYear
- - xsd:gYearMonth
- - xsd:hexBinary
- - xsd:ID
- - xsd:IDREF
- - xsd:IDREFS
- - xsd:int
- - xsd:integer
- - xsd:language
- - xsd:long
- - xsd:Name
- - xsd:NCName
- - xsd:negativeInteger
- - xsd:NMTOKEN
- - xsd:NMTOKENS
- - xsd:nonNegativeInteger
- - xsd:nonPositiveInteger
- - xsd:normalizedString
- - xsd:NOTATION
- - xsd:positiveInteger
- - xsd:QName
- - xsd:short
- - xsd:string
- - xsd:time
- - xsd:token
- - xsd:unsignedByte
- - xsd:unsignedInt
- - xsd:unsignedLong
- - xsd:unsignedShort
+ - string
+ - text
+ - xsd:anyURI
+ - xsd:base64Binary
+ - xsd:boolean
+ - xsd:byte
+ - xsd:date
+ - xsd:dateTime
+ - xsd:decimal
+ - xsd:double
+ - xsd:duration
+ - xsd:ENTITIES
+ - xsd:ENTITY
+ - xsd:float
+ - xsd:gDay
+ - xsd:gMonth
+ - xsd:gMonthDay
+ - xsd:gYear
+ - xsd:gYearMonth
+ - xsd:hexBinary
+ - xsd:ID
+ - xsd:IDREF
+ - xsd:IDREFS
+ - xsd:int
+ - xsd:integer
+ - xsd:language
+ - xsd:long
+ - xsd:Name
+ - xsd:NCName
+ - xsd:negativeInteger
+ - xsd:NMTOKEN
+ - xsd:NMTOKENS
+ - xsd:nonNegativeInteger
+ - xsd:nonPositiveInteger
+ - xsd:normalizedString
+ - xsd:NOTATION
+ - xsd:positiveInteger
+ - xsd:QName
+ - xsd:short
+ - xsd:string
+ - xsd:time
+ - xsd:token
+ - xsd:unsignedByte
+ - xsd:unsignedInt
+ - xsd:unsignedLong
+ - xsd:unsignedShort
diff --git a/kate/data/replicode.xml b/kate/data/replicode.xml
index aadc43a03..189a0ffc3 100644
--- a/kate/data/replicode.xml
+++ b/kate/data/replicode.xml
@@ -11,7 +11,7 @@ it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 2 of the License, or
(at your option) any later version.
-->
-
+
- _now
@@ -176,14 +176,10 @@ the Free Software Foundation; either version 2 of the License, or
-
-
-
-
diff --git a/kate/data/rest.xml b/kate/data/rest.xml
index 13639650c..6ca3a1a44 100644
--- a/kate/data/rest.xml
+++ b/kate/data/rest.xml
@@ -11,7 +11,7 @@
Copyright 2010 Matt Williams (matt@milliams.com)
Copyright 2014 Matthew Woehlke (mw_triad@users.sourceforge.net)
-->
-
+
@@ -23,12 +23,12 @@
-
-
+
+
-
+
@@ -36,10 +36,9 @@
-
-
-
-
+
+
+
@@ -56,7 +55,7 @@
-
+
diff --git a/kate/data/rexx.xml b/kate/data/rexx.xml
index 52a68e0e2..28c806228 100644
--- a/kate/data/rexx.xml
+++ b/kate/data/rexx.xml
@@ -3,93 +3,93 @@
- - arg
- - drop
- - else
- - end
- - exit
- - forever
- - if
- - interpret
- - iterate
- - leave
- - nop
- - options
- - otherwise
- - pull
- - push
- - queue
- - return
- - say
- - select
- - syntax
- - then
+ - arg
+ - drop
+ - else
+ - end
+ - exit
+ - forever
+ - if
+ - interpret
+ - iterate
+ - leave
+ - nop
+ - options
+ - otherwise
+ - pull
+ - push
+ - queue
+ - return
+ - say
+ - select
+ - syntax
+ - then
- - abbrev
- - abs
- - address
- - bitand
- - bitor
- - bitxor
- - b2x
- - center
- - charin
- - charout
- - chars
- - c2d
- - c2x
- - compare
- - condition
- - copies
- - datatype
- - date
- - delstr
- - delword
- - digits
- - d2c
- - d2x
- - errortext
- - form
- - format
- - fuzz
- - insert
- - lastpos
- - left
- - linein
- - lineout
- - lines
- - max
- - min
- - overlay
- - pos
- - queued
- - random
- - reverse
- - right
- - sign
- - sourceline
- - space
- - stream
- - strip
- - substr
- - subword
- - symbol
- - time
- - trace
- - translate
- - trunc
- - value
- - verify
- - word
- - wordindex
- - wordlength
- - wordpos
- - words
- - xrange
- - x2b
- - x2c
- - x2d
+ - abbrev
+ - abs
+ - address
+ - bitand
+ - bitor
+ - bitxor
+ - b2x
+ - center
+ - charin
+ - charout
+ - chars
+ - c2d
+ - c2x
+ - compare
+ - condition
+ - copies
+ - datatype
+ - date
+ - delstr
+ - delword
+ - digits
+ - d2c
+ - d2x
+ - errortext
+ - form
+ - format
+ - fuzz
+ - insert
+ - lastpos
+ - left
+ - linein
+ - lineout
+ - lines
+ - max
+ - min
+ - overlay
+ - pos
+ - queued
+ - random
+ - reverse
+ - right
+ - sign
+ - sourceline
+ - space
+ - stream
+ - strip
+ - substr
+ - subword
+ - symbol
+ - time
+ - trace
+ - translate
+ - trunc
+ - value
+ - verify
+ - word
+ - wordindex
+ - wordlength
+ - wordpos
+ - words
+ - xrange
+ - x2b
+ - x2c
+ - x2d
diff --git a/kate/data/rhtml.xml b/kate/data/rhtml.xml
index a966c23cc..00729e02b 100644
--- a/kate/data/rhtml.xml
+++ b/kate/data/rhtml.xml
@@ -44,81 +44,81 @@
-->
-
+
- - BEGIN
- - END
- - and
- - begin
- - break
- - case
- - defined?
- - do
- - else
- - elsif
- - end
- - ensure
- - for
- - if
- - in
- - include
- - next
- - not
- - or
- - redo
- - rescue
- - retry
- - return
- - then
- - unless
- - until
- - when
- - while
- - yield
+ - BEGIN
+ - END
+ - and
+ - begin
+ - break
+ - case
+ - defined?
+ - do
+ - else
+ - elsif
+ - end
+ - ensure
+ - for
+ - if
+ - in
+ - include
+ - next
+ - not
+ - or
+ - redo
+ - rescue
+ - retry
+ - return
+ - then
+ - unless
+ - until
+ - when
+ - while
+ - yield
- - private_class_method
- - private
- - protected
- - public_class_method
- - public
+ - private_class_method
+ - private
+ - protected
+ - public_class_method
+ - public
- - attr_reader
- - attr_writer
- - attr_accessor
+ - attr_reader
+ - attr_writer
+ - attr_accessor
- - alias
- - module
- - class
- - def
- - undef
+ - alias
+ - module
+ - class
+ - def
+ - undef
- - self
- - super
- - nil
- - false
- - true
- - caller
- - __FILE__
- - __LINE__
+ - self
+ - super
+ - nil
+ - false
+ - true
+ - caller
+ - __FILE__
+ - __LINE__
- - $stdout
- - $defout
- - $stderr
- - $deferr
- - $stdin
+ - $stdout
+ - $defout
+ - $stderr
+ - $deferr
+ - $stdin
- - abort
- - at_exit
- - autoload
- - autoload?
- - binding
- - block_given?
- - callcc
- - caller
- - catch
- - chomp
- - chomp!
- - chop
- - chop!
- - eval
- - exec
- - exit
- - exit!
- - fail
- - fork
- - format
- - getc
- - gets
- - global_variables
- - gsub
- - gsub!
- - iterator?
- - lambda
- - load
- - local_variables
- - loop
- - method_missing
- - open
- - p
- - print
- - printf
- - proc
- - putc
- - puts
- - raise
- - rand
- - readline
- - readlines
- - require
- - scan
- - select
- - set_trace_func
- - sleep
- - split
- - sprintf
- - srand
- - sub
- - sub!
- - syscall
- - system
- - test
- - throw
- - trace_var
- - trap
- - untrace_var
- - warn
+ - abort
+ - at_exit
+ - autoload
+ - autoload?
+ - binding
+ - block_given?
+ - callcc
+ - caller
+ - catch
+ - chomp
+ - chomp!
+ - chop
+ - chop!
+ - eval
+ - exec
+ - exit
+ - exit!
+ - fail
+ - fork
+ - format
+ - getc
+ - gets
+ - global_variables
+ - gsub
+ - gsub!
+ - iterator?
+ - lambda
+ - load
+ - local_variables
+ - loop
+ - method_missing
+ - open
+ - p
+ - print
+ - printf
+ - proc
+ - putc
+ - puts
+ - raise
+ - rand
+ - readline
+ - readlines
+ - require
+ - scan
+ - select
+ - set_trace_func
+ - sleep
+ - split
+ - sprintf
+ - srand
+ - sub
+ - sub!
+ - syscall
+ - system
+ - test
+ - throw
+ - trace_var
+ - trap
+ - untrace_var
+ - warn
- auto_complete_field
@@ -316,9 +316,9 @@
- - TODO
- - FIXME
- - NOTE
+ - TODO
+ - FIXME
+ - NOTE
@@ -584,7 +584,7 @@
Match them before $_.
-->
-
+
@@ -1220,7 +1220,6 @@
-
diff --git a/kate/data/rib.xml b/kate/data/rib.xml
index 8ec2d080c..e8ec3da2d 100644
--- a/kate/data/rib.xml
+++ b/kate/data/rib.xml
@@ -5,103 +5,103 @@
-
+
- - AreaLightSource
- - Attribute
- - AttributeBegin
- - AttributeEnd
- - Begin
- - Bound
- - Clipping
- - Color
- - ColorSamples
- - ConcatTransform
- - CoordinateSystem
- - CropWindow
- - Declare
- - DepthOfField
- - Detail
- - DetailRange
- - Displacement
- - Display
- - End
- - Exterior
- - Format
- - FrameAspectRatio
- - FrameBegin
- - FrameEnd
- - GeometricApproximation
- - Hider
- - Identity
- - Illuminance
- - Illuminate
- - Interior
- - LightSource
- - Matte
- - Opacity
- - Option
- - Orientation
- - Perspective
- - PixelFilter
- - PixelSamples
- - PixelVariance
- - Projection
- - Quantize
- - RelativeDetail
- - Rotate
- - Scale
- - ScreenWindow
- - ShadingInterpolation
- - ShadingRate
- - Shutter
- - Sides
- - Skew
- - Surface
- - TextureCoordinates
- - Transform
- - TransformBegin
- - TransformEnd
- - TransformPoints
- - Translate
- - version
- - WorldBegin
- - WorldEnd
+ - AreaLightSource
+ - Attribute
+ - AttributeBegin
+ - AttributeEnd
+ - Begin
+ - Bound
+ - Clipping
+ - Color
+ - ColorSamples
+ - ConcatTransform
+ - CoordinateSystem
+ - CropWindow
+ - Declare
+ - DepthOfField
+ - Detail
+ - DetailRange
+ - Displacement
+ - Display
+ - End
+ - Exterior
+ - Format
+ - FrameAspectRatio
+ - FrameBegin
+ - FrameEnd
+ - GeometricApproximation
+ - Hider
+ - Identity
+ - Illuminance
+ - Illuminate
+ - Interior
+ - LightSource
+ - Matte
+ - Opacity
+ - Option
+ - Orientation
+ - Perspective
+ - PixelFilter
+ - PixelSamples
+ - PixelVariance
+ - Projection
+ - Quantize
+ - RelativeDetail
+ - Rotate
+ - Scale
+ - ScreenWindow
+ - ShadingInterpolation
+ - ShadingRate
+ - Shutter
+ - Sides
+ - Skew
+ - Surface
+ - TextureCoordinates
+ - Transform
+ - TransformBegin
+ - TransformEnd
+ - TransformPoints
+ - Translate
+ - version
+ - WorldBegin
+ - WorldEnd
- - Basis
- - Cylinder
- - Disk
- - GeneralPolygon
- - Geometry
- - Hyperboloid
- - NuPatch
- - ObjectBegin
- - ObjectEnd
- - ObjectInstance
- - Patch
- - Paraboloid
- - PointsPolygons
- - PointsGeneralPolygons
- - Polygon
- - Procedural
- - SolidBegin
- - SolidEnd
- - Sphere
- - Torus
+ - Basis
+ - Cylinder
+ - Disk
+ - GeneralPolygon
+ - Geometry
+ - Hyperboloid
+ - NuPatch
+ - ObjectBegin
+ - ObjectEnd
+ - ObjectInstance
+ - Patch
+ - Paraboloid
+ - PointsPolygons
+ - PointsGeneralPolygons
+ - Polygon
+ - Procedural
+ - SolidBegin
+ - SolidEnd
+ - Sphere
+ - Torus
- - MotionBegin
- - MotionEnd
+ - MotionBegin
+ - MotionEnd
- - MakeBump
- - MakeCubeFaceEnvironment
- - MakeLatLongEnvironment
- - MakeTexture
- - ArchiveRecord
- - ErrorHandler
+ - MakeBump
+ - MakeCubeFaceEnvironment
+ - MakeLatLongEnvironment
+ - MakeTexture
+ - ArchiveRecord
+ - ErrorHandler
diff --git a/kate/data/roff.xml b/kate/data/roff.xml
index 5df0f48b8..6b428befe 100644
--- a/kate/data/roff.xml
+++ b/kate/data/roff.xml
@@ -7,7 +7,7 @@
]>
-
+
@@ -33,14 +33,14 @@
-
-
+
+
-
+
@@ -50,7 +50,7 @@
-
+
@@ -62,7 +62,7 @@
-
+
@@ -89,13 +89,13 @@
-
+
-
+
@@ -103,8 +103,8 @@
-
-
+
+
@@ -135,8 +135,8 @@
-
-
+
+
@@ -144,8 +144,8 @@
-
-
+
+
@@ -153,7 +153,7 @@
-
+
diff --git a/kate/data/rpmspec.xml b/kate/data/rpmspec.xml
index 094e9022d..3ae4eb9d5 100644
--- a/kate/data/rpmspec.xml
+++ b/kate/data/rpmspec.xml
@@ -8,78 +8,78 @@
]>
-
+
- - Mon
- - Tue
- - Wed
- - Thu
- - Fri
- - Sat
- - Sun
+ - Mon
+ - Tue
+ - Wed
+ - Thu
+ - Fri
+ - Sat
+ - Sun
- - Jan
- - Feb
- - Mar
- - Apr
- - May
- - Jun
- - Jul
- - Aug
- - Sep
- - Oct
- - Nov
- - Dec
+ - Jan
+ - Feb
+ - Mar
+ - Apr
+ - May
+ - Jun
+ - Jul
+ - Aug
+ - Sep
+ - Oct
+ - Nov
+ - Dec
- - 1
- - 2
- - 3
- - 4
- - 5
- - 6
- - 7
- - 8
- - 9
- - 01
- - 02
- - 03
- - 04
- - 05
- - 06
- - 07
- - 08
- - 09
- - 10
- - 11
- - 12
- - 13
- - 14
- - 15
- - 16
- - 17
- - 18
- - 19
- - 20
- - 21
- - 22
- - 23
- - 24
- - 25
- - 26
- - 27
- - 28
- - 29
- - 30
- - 31
+ - 1
+ - 2
+ - 3
+ - 4
+ - 5
+ - 6
+ - 7
+ - 8
+ - 9
+ - 01
+ - 02
+ - 03
+ - 04
+ - 05
+ - 06
+ - 07
+ - 08
+ - 09
+ - 10
+ - 11
+ - 12
+ - 13
+ - 14
+ - 15
+ - 16
+ - 17
+ - 18
+ - 19
+ - 20
+ - 21
+ - 22
+ - 23
+ - 24
+ - 25
+ - 26
+ - 27
+ - 28
+ - 29
+ - 30
+ - 31
diff --git a/kate/data/rsiidl.xml b/kate/data/rsiidl.xml
index 785f64eff..11c5c694b 100644
--- a/kate/data/rsiidl.xml
+++ b/kate/data/rsiidl.xml
@@ -1,46 +1,46 @@
-
+
- - For
- - Do
- - Endfor
- - Repeat
- - Endrep
- - While
- - Endwhile
- - Until
- - Case
- - Endcase
- - If
- - Endif
- - Else
- - Endelse
- - Then
- - Begin
- - End
- - Function
- - Goto
- - Pro
- - Eq
- - Ge
- - Gt
- - Le
- - Lt
- - Ne
- - Mod
- - Or
- - Xor
- - Not
- - And
- - Then
- - Return
- - Common
- - Of
- - On_ioerror
- - Switch
- - Endswitch
+ - For
+ - Do
+ - Endfor
+ - Repeat
+ - Endrep
+ - While
+ - Endwhile
+ - Until
+ - Case
+ - Endcase
+ - If
+ - Endif
+ - Else
+ - Endelse
+ - Then
+ - Begin
+ - End
+ - Function
+ - Goto
+ - Pro
+ - Eq
+ - Ge
+ - Gt
+ - Le
+ - Lt
+ - Ne
+ - Mod
+ - Or
+ - Xor
+ - Not
+ - And
+ - Then
+ - Return
+ - Common
+ - Of
+ - On_ioerror
+ - Switch
+ - Endswitch
- dpi
@@ -79,311 +79,311 @@
- stime
- - Fix
- - Long
- - Long64
- - uint
- - Byte
- - Float
- - Double
- - complex
- - dcomplex
- - complexarr
- - dcomplexarr
- - String
- - Intarr
- - lonarr
- - lon64arr
- - uintarr
- - ulong
- - ulonarr
- - ulon64arr
- - Bytarr
- - Bytscl
- - Fltarr
- - Dblarr
- - Strarr
- - Objarr
- - Indgen
- - Findgen
- - Dindgen
- - Dcindgen
- - cindgen
- - lindgen
- - bindgen
- - sindgen
- - uindgen
- - ul64indgen
- - l64indgen
- - ulindgen
- - Replicate
- - Ptrarr
+ - Fix
+ - Long
+ - Long64
+ - uint
+ - Byte
+ - Float
+ - Double
+ - complex
+ - dcomplex
+ - complexarr
+ - dcomplexarr
+ - String
+ - Intarr
+ - lonarr
+ - lon64arr
+ - uintarr
+ - ulong
+ - ulonarr
+ - ulon64arr
+ - Bytarr
+ - Bytscl
+ - Fltarr
+ - Dblarr
+ - Strarr
+ - Objarr
+ - Indgen
+ - Findgen
+ - Dindgen
+ - Dcindgen
+ - cindgen
+ - lindgen
+ - bindgen
+ - sindgen
+ - uindgen
+ - ul64indgen
+ - l64indgen
+ - ulindgen
+ - Replicate
+ - Ptrarr
- - ABS
- - ACOS
- - ADAPT_HIST_EQUAL
- - ALOG
- - ALOG10
- - ARG_PRESENT
- - ASIN
- - ASSOC
- - ATAN
- - AXIS
- - BESELI
- - BESELJ
- - BESELY
- - BLAS_AXPY
- - BREAKPOINT
- - BROYDEN
- - BYTEORDER
- - CALL_EXTERNAL
- - CALL_FUNCTION
- - CALL_METHOD
- - CALL_PROCEDURE
- - CATCH
- - CEIL
- - CHECK_MATH
- - CHOLDC
- - CHOLSOL
- - COLOR_CONVERT
- - COLOR_QUAN
- - COMPILE_OPT
- - COMPUTE_MESH_NORMALS
- - CONJ
- - CONSTRAINED_MIN
- - CONTOUR
- - CONVERT_COORD
- - CONVOL
- - CORRELATE
- - COS
- - COSH
- - CREATE_STRUCT
- - CURSOR
- - DEFINE_KEY
- - DEFSYSV
- - DELVAR
- - DEVICE
- - DFPMIN
- - DIALOG_MESSAGE
- - DIALOG_PICKFILE
- - DIALOG_PRINTERSETUP
- - DIALOG_PRINTJOB
- - DILATE
- - DLM_LOAD
- - DRAW_ROI
- - ELMHES
- - EMPTY
- - ENABLE_SYSRTN
- - ERASE
- - ERODE
- - ERRORF
- - EXECUTE
- - EXIT
- - EXP
- - EXPAND_PATH
- - EXPINT
- - FINDFILE
- - FINITE
- - FLOOR
- - FORMAT_AXIS_VALUES
- - FORWARD_FUNCTION
- - FSTAT
- - FULSTR
- - FZ_ROOTS
- - GAUSSINT
- - GET_KBRD
- - GETENV
- - GRID_TPS
- - GRID3
- - HEAP_GC
- - HELP
- - HISTOGRAM
- - HQR
- - IMAGE_STATISTICS
- - IMAGINARY
- - INTERPOLATE
- - INVERT
- - ISHFT
- - ISOCONTOUR
- - ISOSURFACE
- - JOURNAL
- - KEYWORD_SET
- - LABEL_REGION
- - LINBCG
- - LINKIMAGE
- - LMGR
- - LNGAMMA
- - LNP_TEST
- - LOADCT
- - LOCALE_GET
- - LSODE
- - LUDC
- - LUMPROVE
- - LUSOL
- - MACHAR
- - MAKE_ARRAY
- - MAP_PROJ_INFO
- - MAX
- - MEDIAN
- - MESH_CLIP
- - MESH_DECIMATE
- - MESH_ISSOLID
- - MESH_MERGE
- - MESH_NUMTRIANGLES
- - MESH_SMOOTH
- - MESH_SURFACEAREA
- - MESH_VALIDATE
- - MESH_VOLUME
- - MESSAGE
- - MIN
- - N_ELEMENTS
- - N_PARAMS
- - N_TAGS
- - NEWTON
- - OBJ_CLASS
- - OBJ_DESTROY
- - OBJ_ISA
- - OBJ_NEW
- - OBJ_VALID
- - ON_ERROR
- - OPLOT
- - PARTICLE_TRACE
- - PLOT
- - PLOTS
- - POLY_2D
- - POLYFILL
- - POLYFILLV
- - POLYSHADE
- - POWELL
- - PROFILER
- - PTR_FREE
- - PTR_NEW
- - PTR_VALID
- - QROMB
- - QROMO
- - QSIMP
- - RANDOMN
- - RANDOMU
- - REBIN
- - REFORM
- - RETALL
- - RETURN
- - RIEMANN
- - RK4
- - ROBERTS
- - ROTATE
- - ROUND
- - SET_PLOT
- - SET_SHADING
- - SETENV
- - SHADE_SURF
- - SHADE_VOLUME
- - SHIFT
- - SIN
- - SINH
- - SIZE
- - SMOOTH
- - SOBEL
- - SORT
- - SPL_INIT
- - SPL_INTERP
- - SPRSAB
- - SPRSAX
- - SPRSIN
- - SQRT
- - STOP
- - STRCMP
- - STRCOMPRESS
- - STREGEX
- - STRJOIN
- - STRLEN
- - STRLOWCASE
- - STRMATCH
- - STRMESSAGE
- - STRMID
- - STRPOS
- - STRPUT
- - STRTRIM
- - STRUCT_ASSIGN
- - STRUCT_HIDE
- - STRUPCASE
- - SURFACE
- - SVDC
- - SVSOL
- - SYSTIME
- - TAG_NAMES
- - TAN
- - TANH
- - TEMPORARY
- - TETRA_CLIP
- - TETRA_SURFACE
- - TETRA_VOLUME
- - THIN
- - THREED
- - TOTAL
- - TRANSPOSE
- - TRIANGULATE
- - TRIGRID
- - TRIQL
- - TRIRED
- - TRISOL
- - TV
- - TVCRS
- - TVLCT
- - TVRD
- - TVSCLU
- - USERSYM
- - VALUE_LOCATE
- - VOIGT
- - VOXEL_PROJ
- - WAIT
- - WATERSHED
- - WDELETE
- - WHERE
- - WIDGET_BASE
- - WIDGET_BUTTON
- - WIDGET_CONTROL
- - WIDGET_DRAW
- - WIDGET_DROPLIST
- - WIDGET_EVENT
- - WIDGET_INFO
- - WIDGET_LABEL
- - WIDGET_LIST
- - WIDGET_SLIDER
- - WIDGET_TABLE
- - WIDGET_TEXT
- - WINDOW
- - WSET
- - WSHOW
- - WTN
- - XYOUTS
+ - ABS
+ - ACOS
+ - ADAPT_HIST_EQUAL
+ - ALOG
+ - ALOG10
+ - ARG_PRESENT
+ - ASIN
+ - ASSOC
+ - ATAN
+ - AXIS
+ - BESELI
+ - BESELJ
+ - BESELY
+ - BLAS_AXPY
+ - BREAKPOINT
+ - BROYDEN
+ - BYTEORDER
+ - CALL_EXTERNAL
+ - CALL_FUNCTION
+ - CALL_METHOD
+ - CALL_PROCEDURE
+ - CATCH
+ - CEIL
+ - CHECK_MATH
+ - CHOLDC
+ - CHOLSOL
+ - COLOR_CONVERT
+ - COLOR_QUAN
+ - COMPILE_OPT
+ - COMPUTE_MESH_NORMALS
+ - CONJ
+ - CONSTRAINED_MIN
+ - CONTOUR
+ - CONVERT_COORD
+ - CONVOL
+ - CORRELATE
+ - COS
+ - COSH
+ - CREATE_STRUCT
+ - CURSOR
+ - DEFINE_KEY
+ - DEFSYSV
+ - DELVAR
+ - DEVICE
+ - DFPMIN
+ - DIALOG_MESSAGE
+ - DIALOG_PICKFILE
+ - DIALOG_PRINTERSETUP
+ - DIALOG_PRINTJOB
+ - DILATE
+ - DLM_LOAD
+ - DRAW_ROI
+ - ELMHES
+ - EMPTY
+ - ENABLE_SYSRTN
+ - ERASE
+ - ERODE
+ - ERRORF
+ - EXECUTE
+ - EXIT
+ - EXP
+ - EXPAND_PATH
+ - EXPINT
+ - FINDFILE
+ - FINITE
+ - FLOOR
+ - FORMAT_AXIS_VALUES
+ - FORWARD_FUNCTION
+ - FSTAT
+ - FULSTR
+ - FZ_ROOTS
+ - GAUSSINT
+ - GET_KBRD
+ - GETENV
+ - GRID_TPS
+ - GRID3
+ - HEAP_GC
+ - HELP
+ - HISTOGRAM
+ - HQR
+ - IMAGE_STATISTICS
+ - IMAGINARY
+ - INTERPOLATE
+ - INVERT
+ - ISHFT
+ - ISOCONTOUR
+ - ISOSURFACE
+ - JOURNAL
+ - KEYWORD_SET
+ - LABEL_REGION
+ - LINBCG
+ - LINKIMAGE
+ - LMGR
+ - LNGAMMA
+ - LNP_TEST
+ - LOADCT
+ - LOCALE_GET
+ - LSODE
+ - LUDC
+ - LUMPROVE
+ - LUSOL
+ - MACHAR
+ - MAKE_ARRAY
+ - MAP_PROJ_INFO
+ - MAX
+ - MEDIAN
+ - MESH_CLIP
+ - MESH_DECIMATE
+ - MESH_ISSOLID
+ - MESH_MERGE
+ - MESH_NUMTRIANGLES
+ - MESH_SMOOTH
+ - MESH_SURFACEAREA
+ - MESH_VALIDATE
+ - MESH_VOLUME
+ - MESSAGE
+ - MIN
+ - N_ELEMENTS
+ - N_PARAMS
+ - N_TAGS
+ - NEWTON
+ - OBJ_CLASS
+ - OBJ_DESTROY
+ - OBJ_ISA
+ - OBJ_NEW
+ - OBJ_VALID
+ - ON_ERROR
+ - OPLOT
+ - PARTICLE_TRACE
+ - PLOT
+ - PLOTS
+ - POLY_2D
+ - POLYFILL
+ - POLYFILLV
+ - POLYSHADE
+ - POWELL
+ - PROFILER
+ - PTR_FREE
+ - PTR_NEW
+ - PTR_VALID
+ - QROMB
+ - QROMO
+ - QSIMP
+ - RANDOMN
+ - RANDOMU
+ - REBIN
+ - REFORM
+ - RETALL
+ - RETURN
+ - RIEMANN
+ - RK4
+ - ROBERTS
+ - ROTATE
+ - ROUND
+ - SET_PLOT
+ - SET_SHADING
+ - SETENV
+ - SHADE_SURF
+ - SHADE_VOLUME
+ - SHIFT
+ - SIN
+ - SINH
+ - SIZE
+ - SMOOTH
+ - SOBEL
+ - SORT
+ - SPL_INIT
+ - SPL_INTERP
+ - SPRSAB
+ - SPRSAX
+ - SPRSIN
+ - SQRT
+ - STOP
+ - STRCMP
+ - STRCOMPRESS
+ - STREGEX
+ - STRJOIN
+ - STRLEN
+ - STRLOWCASE
+ - STRMATCH
+ - STRMESSAGE
+ - STRMID
+ - STRPOS
+ - STRPUT
+ - STRTRIM
+ - STRUCT_ASSIGN
+ - STRUCT_HIDE
+ - STRUPCASE
+ - SURFACE
+ - SVDC
+ - SVSOL
+ - SYSTIME
+ - TAG_NAMES
+ - TAN
+ - TANH
+ - TEMPORARY
+ - TETRA_CLIP
+ - TETRA_SURFACE
+ - TETRA_VOLUME
+ - THIN
+ - THREED
+ - TOTAL
+ - TRANSPOSE
+ - TRIANGULATE
+ - TRIGRID
+ - TRIQL
+ - TRIRED
+ - TRISOL
+ - TV
+ - TVCRS
+ - TVLCT
+ - TVRD
+ - TVSCLU
+ - USERSYM
+ - VALUE_LOCATE
+ - VOIGT
+ - VOXEL_PROJ
+ - WAIT
+ - WATERSHED
+ - WDELETE
+ - WHERE
+ - WIDGET_BASE
+ - WIDGET_BUTTON
+ - WIDGET_CONTROL
+ - WIDGET_DRAW
+ - WIDGET_DROPLIST
+ - WIDGET_EVENT
+ - WIDGET_INFO
+ - WIDGET_LABEL
+ - WIDGET_LIST
+ - WIDGET_SLIDER
+ - WIDGET_TABLE
+ - WIDGET_TEXT
+ - WINDOW
+ - WSET
+ - WSHOW
+ - WTN
+ - XYOUTS
- - Open
- - FLUSH
- - IOCTL
- - RESTORE
- - SAVE
- - POINT_LUN
- - Openr
- - Openw
- - Openu
- - Close
- - Free_lun
- - get_lun
- - assoc
- - catch
- - cd
- - spawn
- - eof
- - print
- - printf
- - prints
- - read
- - readf
- - reads
- - writu
+ - Open
+ - FLUSH
+ - IOCTL
+ - RESTORE
+ - SAVE
+ - POINT_LUN
+ - Openr
+ - Openw
+ - Openu
+ - Close
+ - Free_lun
+ - get_lun
+ - assoc
+ - catch
+ - cd
+ - spawn
+ - eof
+ - print
+ - printf
+ - prints
+ - read
+ - readf
+ - reads
+ - writu
@@ -426,11 +426,9 @@
-
-
diff --git a/kate/data/sather.xml b/kate/data/sather.xml
index 4662ed23c..89819cf2f 100644
--- a/kate/data/sather.xml
+++ b/kate/data/sather.xml
@@ -3,106 +3,106 @@
- - and
- - assert
- - attr
- - break!
- - case
- - class
- - const
- - else
- - elsif
- - end
- - exception
- - external
- - false
- - if
- - include
- - initial
- - is
- - ITER
- - loop
- - new
- - or
- - post
- - pre
- - private
- - protect
- - quit
- - raise
- - readonly
- - result
- - return
- - ROUT
- - SAME
- - self
- - shared
- - then
- - true
- - typecase
- - type
- - until!
- - value
- - void
- - when
- - while!
- - yield
- - abstract
- - any
- - bind
- - fork
- - guard
- - immutable
- - inout
- - in
- - lock
- - once
- - out
- - parloop
- - partial
- - par
- - spread
- - stub
+ - and
+ - assert
+ - attr
+ - break!
+ - case
+ - class
+ - const
+ - else
+ - elsif
+ - end
+ - exception
+ - external
+ - false
+ - if
+ - include
+ - initial
+ - is
+ - ITER
+ - loop
+ - new
+ - or
+ - post
+ - pre
+ - private
+ - protect
+ - quit
+ - raise
+ - readonly
+ - result
+ - return
+ - ROUT
+ - SAME
+ - self
+ - shared
+ - then
+ - true
+ - typecase
+ - type
+ - until!
+ - value
+ - void
+ - when
+ - while!
+ - yield
+ - abstract
+ - any
+ - bind
+ - fork
+ - guard
+ - immutable
+ - inout
+ - in
+ - lock
+ - once
+ - out
+ - parloop
+ - partial
+ - par
+ - spread
+ - stub
- - $OB
- - ARRAY
- - AREF
- - AVAL
- - BOOL
- - CHAR
- - EXT_OB
- - FLTDX
- - FLTD
- - FLTX
- - FLTI
- - FLT
- - INTI
- - INT
- - $REHASH
- - STR
- - SYS
+ - $OB
+ - ARRAY
+ - AREF
+ - AVAL
+ - BOOL
+ - CHAR
+ - EXT_OB
+ - FLTDX
+ - FLTD
+ - FLTX
+ - FLTI
+ - FLT
+ - INTI
+ - INT
+ - $REHASH
+ - STR
+ - SYS
- - create
- - invariant
- - main
- - aget
- - aset
- - div
- - is_eq
- - is_geq
- - is_gt
- - is_leq
- - is_lt
- - is_neq
- - minus
- - mod
- - negate
- - not
- - plus
- - pow
- - times
+ - create
+ - invariant
+ - main
+ - aget
+ - aset
+ - div
+ - is_eq
+ - is_geq
+ - is_gt
+ - is_leq
+ - is_lt
+ - is_neq
+ - minus
+ - mod
+ - negate
+ - not
+ - plus
+ - pow
+ - times
diff --git a/kate/data/scala.xml b/kate/data/scala.xml
index ad332a2ce..284281ef6 100644
--- a/kate/data/scala.xml
+++ b/kate/data/scala.xml
@@ -1,7 +1,7 @@
-
- - Actor
- - ActorProxy
- - ActorTask
- - ActorThread
- - AllRef
- - Any
- - AnyRef
- - Application
- - AppliedType
- - Array
- - ArrayBuffer
- - Attribute
- - BoxedArray
- - BoxedBooleanArray
- - BoxedByteArray
- - BoxedCharArray
- - Buffer
- - BufferedIterator
- - Char
- - Console
- - Enumeration
- - Fluid
- - Function
- - IScheduler
- - ImmutableMapAdaptor
- - ImmutableSetAdaptor
- - Int
- - Iterable
- - List
- - ListBuffer
- - None
- - Option
- - Ordered
- - Pair
- - PartialFunction
- - Pid
- - Predef
- - PriorityQueue
- - PriorityQueueProxy
- - Reaction
- - Ref
- - Responder
- - RichInt
- - RichString
- - Rule
- - RuleTransformer
- - Script
- - Seq
- - SerialVersionUID
- - Some
- - Stream
- - Symbol
- - TcpService
- - TcpServiceWorker
- - Triple
- - Unit
- - Value
- - WorkerThread
- - serializable
- - transient
- - volatile
+ - Actor
+ - ActorProxy
+ - ActorTask
+ - ActorThread
+ - AllRef
+ - Any
+ - AnyRef
+ - Application
+ - AppliedType
+ - Array
+ - ArrayBuffer
+ - Attribute
+ - BoxedArray
+ - BoxedBooleanArray
+ - BoxedByteArray
+ - BoxedCharArray
+ - Buffer
+ - BufferedIterator
+ - Char
+ - Console
+ - Enumeration
+ - Fluid
+ - Function
+ - IScheduler
+ - ImmutableMapAdaptor
+ - ImmutableSetAdaptor
+ - Int
+ - Iterable
+ - List
+ - ListBuffer
+ - None
+ - Option
+ - Ordered
+ - Pair
+ - PartialFunction
+ - Pid
+ - Predef
+ - PriorityQueue
+ - PriorityQueueProxy
+ - Reaction
+ - Ref
+ - Responder
+ - RichInt
+ - RichString
+ - Rule
+ - RuleTransformer
+ - Script
+ - Seq
+ - SerialVersionUID
+ - Some
+ - Stream
+ - Symbol
+ - TcpService
+ - TcpServiceWorker
+ - Triple
+ - Unit
+ - Value
+ - WorkerThread
+ - serializable
+ - transient
+ - volatile
- - ACTIVE
- - ACTIVITY_COMPLETED
- - ACTIVITY_REQUIRED
- - ARG_IN
- - ARG_INOUT
- - ARG_OUT
- - AWTError
- - AWTEvent
- - AWTEventListener
- - AWTEventListenerProxy
- - AWTEventMulticaster
- - AWTException
- - AWTKeyStroke
- - AWTPermission
- - AbstractAction
- - AbstractBorder
- - AbstractButton
- - AbstractCellEditor
- - AbstractCollection
- - AbstractColorChooserPanel
- - AbstractDocument
- - AbstractDocument.AttributeContext
- - AbstractDocument.Content
- - AbstractDocument.ElementEdit
- - AbstractExecutorService
- - AbstractInterruptibleChannel
- - AbstractLayoutCache
- - AbstractLayoutCache.NodeDimensions
- - AbstractList
- - AbstractListModel
- - AbstractMap
- - AbstractMethodError
- - AbstractPreferences
- - AbstractQueue
- - AbstractQueuedSynchronizer
- - AbstractSelectableChannel
- - AbstractSelectionKey
- - AbstractSelector
- - AbstractSequentialList
- - AbstractSet
- - AbstractSpinnerModel
- - AbstractTableModel
- - AbstractUndoableEdit
- - AbstractWriter
- - AccessControlContext
- - AccessControlException
- - AccessController
- - AccessException
- - Accessible
- - AccessibleAction
- - AccessibleAttributeSequence
- - AccessibleBundle
- - AccessibleComponent
- - AccessibleContext
- - AccessibleEditableText
- - AccessibleExtendedComponent
- - AccessibleExtendedTable
- - AccessibleExtendedText
- - AccessibleHyperlink
- - AccessibleHypertext
- - AccessibleIcon
- - AccessibleKeyBinding
- - AccessibleObject
- - AccessibleRelation
- - AccessibleRelationSet
- - AccessibleResourceBundle
- - AccessibleRole
- - AccessibleSelection
- - AccessibleState
- - AccessibleStateSet
- - AccessibleStreamable
- - AccessibleTable
- - AccessibleTableModelChange
- - AccessibleText
- - AccessibleTextSequence
- - AccessibleValue
- - AccountException
- - AccountExpiredException
- - AccountLockedException
- - AccountNotFoundException
- - Acl
- - AclEntry
- - AclNotFoundException
- - Action
- - ActionEvent
- - ActionListener
- - ActionMap
- - ActionMapUIResource
- - Activatable
- - ActivateFailedException
- - ActivationDesc
- - ActivationException
- - ActivationGroup
- - ActivationGroupDesc
- - ActivationGroupDesc.CommandEnvironment
- - ActivationGroupID
- - ActivationGroup_Stub
- - ActivationID
- - ActivationInstantiator
- - ActivationMonitor
- - ActivationSystem
- - Activator
- - ActiveEvent
- - ActivityCompletedException
- - ActivityRequiredException
- - AdapterActivator
- - AdapterActivatorOperations
- - AdapterAlreadyExists
- - AdapterAlreadyExistsHelper
- - AdapterInactive
- - AdapterInactiveHelper
- - AdapterManagerIdHelper
- - AdapterNameHelper
- - AdapterNonExistent
- - AdapterNonExistentHelper
- - AdapterStateHelper
- - AddressHelper
- - Adjustable
- - AdjustmentEvent
- - AdjustmentListener
- - Adler32
- - AffineTransform
- - AffineTransformOp
- - AlgorithmParameterGenerator
- - AlgorithmParameterGeneratorSpi
- - AlgorithmParameterSpec
- - AlgorithmParameters
- - AlgorithmParametersSpi
- - AllPermission
- - AlphaComposite
- - AlreadyBound
- - AlreadyBoundException
- - AlreadyBoundHelper
- - AlreadyBoundHolder
- - AlreadyConnectedException
- - AncestorEvent
- - AncestorListener
- - AnnotatedElement
- - Annotation
- - Annotation
- - AnnotationFormatError
- - AnnotationTypeMismatchException
- - Any
- - AnyHolder
- - AnySeqHelper
- - AnySeqHelper
- - AnySeqHolder
- - AppConfigurationEntry
- - AppConfigurationEntry.LoginModuleControlFlag
- - Appendable
- - Applet
- - AppletContext
- - AppletInitializer
- - AppletStub
- - ApplicationException
- - Arc2D
- - Arc2D.Double
- - Arc2D.Float
- - Area
- - AreaAveragingScaleFilter
- - ArithmeticException
- - Array
- - Array
- - ArrayBlockingQueue
- - ArrayIndexOutOfBoundsException
- - ArrayList
- - ArrayStoreException
- - ArrayType
- - Arrays
- - AssertionError
- - AsyncBoxView
- - AsynchronousCloseException
- - AtomicBoolean
- - AtomicInteger
- - AtomicIntegerArray
- - AtomicIntegerFieldUpdater
- - AtomicLong
- - AtomicLongArray
- - AtomicLongFieldUpdater
- - AtomicMarkableReference
- - AtomicReference
- - AtomicReferenceArray
- - AtomicReferenceFieldUpdater
- - AtomicStampedReference
- - Attr
- - Attribute
- - Attribute
- - Attribute
- - AttributeChangeNotification
- - AttributeChangeNotificationFilter
- - AttributeException
- - AttributeInUseException
- - AttributeList
- - AttributeList
- - AttributeList
- - AttributeListImpl
- - AttributeModificationException
- - AttributeNotFoundException
- - AttributeSet
- - AttributeSet
- - AttributeSet.CharacterAttribute
- - AttributeSet.ColorAttribute
- - AttributeSet.FontAttribute
- - AttributeSet.ParagraphAttribute
- - AttributeSetUtilities
- - AttributeValueExp
- - AttributedCharacterIterator
- - AttributedCharacterIterator.Attribute
- - AttributedString
- - Attributes
- - Attributes
- - Attributes
- - Attributes.Name
- - Attributes2
- - Attributes2Impl
- - AttributesImpl
- - AudioClip
- - AudioFileFormat
- - AudioFileFormat.Type
- - AudioFileReader
- - AudioFileWriter
- - AudioFormat
- - AudioFormat.Encoding
- - AudioInputStream
- - AudioPermission
- - AudioSystem
- - AuthPermission
- - AuthProvider
- - AuthenticationException
- - AuthenticationException
- - AuthenticationNotSupportedException
- - Authenticator
- - Authenticator.RequestorType
- - AuthorizeCallback
- - Autoscroll
- - BAD_CONTEXT
- - BAD_INV_ORDER
- - BAD_OPERATION
- - BAD_PARAM
- - BAD_POLICY
- - BAD_POLICY_TYPE
- - BAD_POLICY_VALUE
- - BAD_QOS
- - BAD_TYPECODE
- - BMPImageWriteParam
- - BackingStoreException
- - BadAttributeValueExpException
- - BadBinaryOpValueExpException
- - BadKind
- - BadLocationException
- - BadPaddingException
- - BadStringOperationException
- - BandCombineOp
- - BandedSampleModel
- - BaseRowSet
- - BasicArrowButton
- - BasicAttribute
- - BasicAttributes
- - BasicBorders
- - BasicBorders.ButtonBorder
- - BasicBorders.FieldBorder
- - BasicBorders.MarginBorder
- - BasicBorders.MenuBarBorder
- - BasicBorders.RadioButtonBorder
- - BasicBorders.RolloverButtonBorder
- - BasicBorders.SplitPaneBorder
- - BasicBorders.ToggleButtonBorder
- - BasicButtonListener
- - BasicButtonUI
- - BasicCheckBoxMenuItemUI
- - BasicCheckBoxUI
- - BasicColorChooserUI
- - BasicComboBoxEditor
- - BasicComboBoxEditor.UIResource
- - BasicComboBoxRenderer
- - BasicComboBoxRenderer.UIResource
- - BasicComboBoxUI
- - BasicComboPopup
- - BasicControl
- - BasicDesktopIconUI
- - BasicDesktopPaneUI
- - BasicDirectoryModel
- - BasicEditorPaneUI
- - BasicFileChooserUI
- - BasicFormattedTextFieldUI
- - BasicGraphicsUtils
- - BasicHTML
- - BasicIconFactory
- - BasicInternalFrameTitlePane
- - BasicInternalFrameUI
- - BasicLabelUI
- - BasicListUI
- - BasicLookAndFeel
- - BasicMenuBarUI
- - BasicMenuItemUI
- - BasicMenuUI
- - BasicOptionPaneUI
- - BasicOptionPaneUI.ButtonAreaLayout
- - BasicPanelUI
- - BasicPasswordFieldUI
- - BasicPermission
- - BasicPopupMenuSeparatorUI
- - BasicPopupMenuUI
- - BasicProgressBarUI
- - BasicRadioButtonMenuItemUI
- - BasicRadioButtonUI
- - BasicRootPaneUI
- - BasicScrollBarUI
- - BasicScrollPaneUI
- - BasicSeparatorUI
- - BasicSliderUI
- - BasicSpinnerUI
- - BasicSplitPaneDivider
- - BasicSplitPaneUI
- - BasicStroke
- - BasicTabbedPaneUI
- - BasicTableHeaderUI
- - BasicTableUI
- - BasicTextAreaUI
- - BasicTextFieldUI
- - BasicTextPaneUI
- - BasicTextUI
- - BasicTextUI.BasicCaret
- - BasicTextUI.BasicHighlighter
- - BasicToggleButtonUI
- - BasicToolBarSeparatorUI
- - BasicToolBarUI
- - BasicToolTipUI
- - BasicTreeUI
- - BasicViewportUI
- - BatchUpdateException
- - BeanContext
- - BeanContextChild
- - BeanContextChildComponentProxy
- - BeanContextChildSupport
- - BeanContextContainerProxy
- - BeanContextEvent
- - BeanContextMembershipEvent
- - BeanContextMembershipListener
- - BeanContextProxy
- - BeanContextServiceAvailableEvent
- - BeanContextServiceProvider
- - BeanContextServiceProviderBeanInfo
- - BeanContextServiceRevokedEvent
- - BeanContextServiceRevokedListener
- - BeanContextServices
- - BeanContextServicesListener
- - BeanContextServicesSupport
- - BeanContextServicesSupport.BCSSServiceProvider
- - BeanContextSupport
- - BeanContextSupport.BCSIterator
- - BeanDescriptor
- - BeanInfo
- - Beans
- - BevelBorder
- - Bidi
- - BigDecimal
- - BigInteger
- - BinaryRefAddr
- - BindException
- - Binding
- - Binding
- - BindingHelper
- - BindingHolder
- - BindingIterator
- - BindingIteratorHelper
- - BindingIteratorHolder
- - BindingIteratorOperations
- - BindingIteratorPOA
- - BindingListHelper
- - BindingListHolder
- - BindingType
- - BindingTypeHelper
- - BindingTypeHolder
- - BitSet
- - Blob
- - BlockView
- - BlockingQueue
- - Book
- - Boolean
- - BooleanControl
- - BooleanControl.Type
- - BooleanHolder
- - BooleanSeqHelper
- - BooleanSeqHolder
- - Border
- - BorderFactory
- - BorderLayout
- - BorderUIResource
- - BorderUIResource.BevelBorderUIResource
- - BorderUIResource.CompoundBorderUIResource
- - BorderUIResource.EmptyBorderUIResource
- - BorderUIResource.EtchedBorderUIResource
- - BorderUIResource.LineBorderUIResource
- - BorderUIResource.MatteBorderUIResource
- - BorderUIResource.TitledBorderUIResource
- - BoundedRangeModel
- - Bounds
- - Bounds
- - Box
- - Box.Filler
- - BoxLayout
- - BoxView
- - BoxedValueHelper
- - BreakIterator
- - BrokenBarrierException
- - Buffer
- - BufferCapabilities
- - BufferCapabilities.FlipContents
- - BufferOverflowException
- - BufferStrategy
- - BufferUnderflowException
- - BufferedImage
- - BufferedImageFilter
- - BufferedImageOp
- - BufferedInputStream
- - BufferedOutputStream
- - BufferedReader
- - BufferedWriter
- - Button
- - ButtonGroup
- - ButtonModel
- - ButtonUI
- - Byte
- - ByteArrayInputStream
- - ByteArrayOutputStream
- - ByteBuffer
- - ByteChannel
- - ByteHolder
- - ByteLookupTable
- - ByteOrder
- - CDATASection
- - CMMException
- - CODESET_INCOMPATIBLE
- - COMM_FAILURE
- - CRC32
- - CRL
- - CRLException
- - CRLSelector
- - CSS
- - CSS.Attribute
- - CTX_RESTRICT_SCOPE
- - CacheRequest
- - CacheResponse
- - CachedRowSet
- - Calendar
- - Callable
- - CallableStatement
- - Callback
- - CallbackHandler
- - CancelablePrintJob
- - CancellationException
- - CancelledKeyException
- - CannotProceed
- - CannotProceedException
- - CannotProceedHelper
- - CannotProceedHolder
- - CannotRedoException
- - CannotUndoException
- - Canvas
- - CardLayout
- - Caret
- - CaretEvent
- - CaretListener
- - CellEditor
- - CellEditorListener
- - CellRendererPane
- - CertPath
- - CertPath.CertPathRep
- - CertPathBuilder
- - CertPathBuilderException
- - CertPathBuilderResult
- - CertPathBuilderSpi
- - CertPathParameters
- - CertPathTrustManagerParameters
- - CertPathValidator
- - CertPathValidatorException
- - CertPathValidatorResult
- - CertPathValidatorSpi
- - CertSelector
- - CertStore
- - CertStoreException
- - CertStoreParameters
- - CertStoreSpi
- - Certificate
- - Certificate
- - Certificate
- - Certificate.CertificateRep
- - CertificateEncodingException
- - CertificateEncodingException
- - CertificateException
- - CertificateException
- - CertificateExpiredException
- - CertificateExpiredException
- - CertificateFactory
- - CertificateFactorySpi
- - CertificateNotYetValidException
- - CertificateNotYetValidException
- - CertificateParsingException
- - CertificateParsingException
- - ChangeEvent
- - ChangeListener
- - ChangedCharSetException
- - Channel
- - ChannelBinding
- - Channels
- - CharArrayReader
- - CharArrayWriter
- - CharBuffer
- - CharConversionException
- - CharHolder
- - CharSeqHelper
- - CharSeqHolder
- - CharSequence
- - Character
- - Character.Subset
- - Character.UnicodeBlock
- - CharacterCodingException
- - CharacterData
- - CharacterIterator
- - Charset
- - CharsetDecoder
- - CharsetEncoder
- - CharsetProvider
- - Checkbox
- - CheckboxGroup
- - CheckboxMenuItem
- - CheckedInputStream
- - CheckedOutputStream
- - Checksum
- - Choice
- - ChoiceCallback
- - ChoiceFormat
- - Chromaticity
- - Cipher
- - CipherInputStream
- - CipherOutputStream
- - CipherSpi
- - Class
- - ClassCastException
- - ClassCircularityError
- - ClassDefinition
- - ClassDesc
- - ClassFileTransformer
- - ClassFormatError
- - ClassLoader
- - ClassLoaderRepository
- - ClassLoadingMXBean
- - ClassNotFoundException
- - ClientRequestInfo
- - ClientRequestInfoOperations
- - ClientRequestInterceptor
- - ClientRequestInterceptorOperations
- - Clip
- - Clipboard
- - ClipboardOwner
- - Clob
- - CloneNotSupportedException
- - Cloneable
- - Closeable
- - ClosedByInterruptException
- - ClosedChannelException
- - ClosedSelectorException
- - CodeSets
- - CodeSigner
- - CodeSource
- - Codec
- - CodecFactory
- - CodecFactoryHelper
- - CodecFactoryOperations
- - CodecOperations
- - CoderMalfunctionError
- - CoderResult
- - CodingErrorAction
- - CollationElementIterator
- - CollationKey
- - Collator
- - Collection
- - CollectionCertStoreParameters
- - Collections
- - Color
- - ColorChooserComponentFactory
- - ColorChooserUI
- - ColorConvertOp
- - ColorModel
- - ColorSelectionModel
- - ColorSpace
- - ColorSupported
- - ColorType
- - ColorUIResource
- - ComboBoxEditor
- - ComboBoxModel
- - ComboBoxUI
- - ComboPopup
- - Comment
- - CommunicationException
- - Comparable
- - Comparator
- - CompilationMXBean
- - Compiler
- - CompletionService
- - CompletionStatus
- - CompletionStatusHelper
- - Component
- - ComponentAdapter
- - ComponentColorModel
- - ComponentEvent
- - ComponentIdHelper
- - ComponentInputMap
- - ComponentInputMapUIResource
- - ComponentListener
- - ComponentOrientation
- - ComponentSampleModel
- - ComponentUI
- - ComponentView
- - Composite
- - CompositeContext
- - CompositeData
- - CompositeDataSupport
- - CompositeName
- - CompositeType
- - CompositeView
- - CompoundBorder
- - CompoundControl
- - CompoundControl.Type
- - CompoundEdit
- - CompoundName
- - Compression
- - ConcurrentHashMap
- - ConcurrentLinkedQueue
- - ConcurrentMap
- - ConcurrentModificationException
- - Condition
- - Configuration
- - ConfigurationException
- - ConfirmationCallback
- - ConnectException
- - ConnectException
- - ConnectIOException
- - Connection
- - ConnectionEvent
- - ConnectionEventListener
- - ConnectionPendingException
- - ConnectionPoolDataSource
- - ConsoleHandler
- - Constructor
- - Container
- - ContainerAdapter
- - ContainerEvent
- - ContainerListener
- - ContainerOrderFocusTraversalPolicy
- - ContentHandler
- - ContentHandler
- - ContentHandlerFactory
- - ContentModel
- - Context
- - Context
- - ContextList
- - ContextNotEmptyException
- - ContextualRenderedImageFactory
- - Control
- - Control
- - Control.Type
- - ControlFactory
- - ControllerEventListener
- - ConvolveOp
- - CookieHandler
- - CookieHolder
- - Copies
- - CopiesSupported
- - CopyOnWriteArrayList
- - CopyOnWriteArraySet
- - CountDownLatch
- - CounterMonitor
- - CounterMonitorMBean
- - CredentialException
- - CredentialExpiredException
- - CredentialNotFoundException
- - CropImageFilter
- - CubicCurve2D
- - CubicCurve2D.Double
- - CubicCurve2D.Float
- - Currency
- - Current
- - Current
- - Current
- - CurrentHelper
- - CurrentHelper
- - CurrentHelper
- - CurrentHolder
- - CurrentOperations
- - CurrentOperations
- - CurrentOperations
- - Cursor
- - CustomMarshal
- - CustomValue
- - Customizer
- - CyclicBarrier
- - DATA_CONVERSION
- - DESKeySpec
- - DESedeKeySpec
- - DGC
- - DHGenParameterSpec
- - DHKey
- - DHParameterSpec
- - DHPrivateKey
- - DHPrivateKeySpec
- - DHPublicKey
- - DHPublicKeySpec
- - DISCARDING
- - DOMConfiguration
- - DOMError
- - DOMErrorHandler
- - DOMException
- - DOMImplementation
- - DOMImplementationLS
- - DOMImplementationList
- - DOMImplementationRegistry
- - DOMImplementationSource
- - DOMLocator
- - DOMLocator
- - DOMResult
- - DOMSource
- - DOMStringList
- - DSAKey
- - DSAKeyPairGenerator
- - DSAParameterSpec
- - DSAParams
- - DSAPrivateKey
- - DSAPrivateKeySpec
- - DSAPublicKey
- - DSAPublicKeySpec
- - DTD
- - DTDConstants
- - DTDHandler
- - DataBuffer
- - DataBufferByte
- - DataBufferDouble
- - DataBufferFloat
- - DataBufferInt
- - DataBufferShort
- - DataBufferUShort
- - DataFlavor
- - DataFormatException
- - DataInput
- - DataInputStream
- - DataInputStream
- - DataLine
- - DataLine.Info
- - DataOutput
- - DataOutputStream
- - DataOutputStream
- - DataSource
- - DataTruncation
- - DatabaseMetaData
- - DatagramChannel
- - DatagramPacket
- - DatagramSocket
- - DatagramSocketImpl
- - DatagramSocketImplFactory
- - DatatypeConfigurationException
- - DatatypeConstants
- - DatatypeConstants.Field
- - DatatypeFactory
- - Date
- - Date
- - DateFormat
- - DateFormat.Field
- - DateFormatSymbols
- - DateFormatter
- - DateTimeAtCompleted
- - DateTimeAtCreation
- - DateTimeAtProcessing
- - DateTimeSyntax
- - DebugGraphics
- - DecimalFormat
- - DecimalFormatSymbols
- - DeclHandler
- - DefaultBoundedRangeModel
- - DefaultButtonModel
- - DefaultCaret
- - DefaultCellEditor
- - DefaultColorSelectionModel
- - DefaultComboBoxModel
- - DefaultDesktopManager
- - DefaultEditorKit
- - DefaultEditorKit.BeepAction
- - DefaultEditorKit.CopyAction
- - DefaultEditorKit.CutAction
- - DefaultEditorKit.DefaultKeyTypedAction
- - DefaultEditorKit.InsertBreakAction
- - DefaultEditorKit.InsertContentAction
- - DefaultEditorKit.InsertTabAction
- - DefaultEditorKit.PasteAction
- - DefaultFocusManager
- - DefaultFocusTraversalPolicy
- - DefaultFormatter
- - DefaultFormatterFactory
- - DefaultHandler
- - DefaultHandler2
- - DefaultHighlighter
- - DefaultHighlighter.DefaultHighlightPainter
- - DefaultKeyboardFocusManager
- - DefaultListCellRenderer
- - DefaultListCellRenderer.UIResource
- - DefaultListModel
- - DefaultListSelectionModel
- - DefaultLoaderRepository
- - DefaultLoaderRepository
- - DefaultMenuLayout
- - DefaultMetalTheme
- - DefaultMutableTreeNode
- - DefaultPersistenceDelegate
- - DefaultSingleSelectionModel
- - DefaultStyledDocument
- - DefaultStyledDocument.AttributeUndoableEdit
- - DefaultStyledDocument.ElementSpec
- - DefaultTableCellRenderer
- - DefaultTableCellRenderer.UIResource
- - DefaultTableColumnModel
- - DefaultTableModel
- - DefaultTextUI
- - DefaultTreeCellEditor
- - DefaultTreeCellRenderer
- - DefaultTreeModel
- - DefaultTreeSelectionModel
- - DefinitionKind
- - DefinitionKindHelper
- - Deflater
- - DeflaterOutputStream
- - DelayQueue
- - Delayed
- - Delegate
- - Delegate
- - Delegate
- - DelegationPermission
- - Deprecated
- - Descriptor
- - DescriptorAccess
- - DescriptorSupport
- - DesignMode
- - DesktopIconUI
- - DesktopManager
- - DesktopPaneUI
- - Destination
- - DestroyFailedException
- - Destroyable
- - Dialog
- - Dictionary
- - DigestException
- - DigestInputStream
- - DigestOutputStream
- - Dimension
- - Dimension2D
- - DimensionUIResource
- - DirContext
- - DirObjectFactory
- - DirStateFactory
- - DirStateFactory.Result
- - DirectColorModel
- - DirectoryManager
- - DisplayMode
- - DnDConstants
- - Doc
- - DocAttribute
- - DocAttributeSet
- - DocFlavor
- - DocFlavor.BYTE_ARRAY
- - DocFlavor.CHAR_ARRAY
- - DocFlavor.INPUT_STREAM
- - DocFlavor.READER
- - DocFlavor.SERVICE_FORMATTED
- - DocFlavor.STRING
- - DocFlavor.URL
- - DocPrintJob
- - Document
- - Document
- - DocumentBuilder
- - DocumentBuilderFactory
- - DocumentEvent
- - DocumentEvent.ElementChange
- - DocumentEvent.EventType
- - DocumentFilter
- - DocumentFilter.FilterBypass
- - DocumentFragment
- - DocumentHandler
- - DocumentListener
- - DocumentName
- - DocumentParser
- - DocumentType
- - Documented
- - DomainCombiner
- - DomainManager
- - DomainManagerOperations
- - Double
- - DoubleBuffer
- - DoubleHolder
- - DoubleSeqHelper
- - DoubleSeqHolder
- - DragGestureEvent
- - DragGestureListener
- - DragGestureRecognizer
- - DragSource
- - DragSourceAdapter
- - DragSourceContext
- - DragSourceDragEvent
- - DragSourceDropEvent
- - DragSourceEvent
- - DragSourceListener
- - DragSourceMotionListener
- - Driver
- - DriverManager
- - DriverPropertyInfo
- - DropTarget
- - DropTarget.DropTargetAutoScroller
- - DropTargetAdapter
- - DropTargetContext
- - DropTargetDragEvent
- - DropTargetDropEvent
- - DropTargetEvent
- - DropTargetListener
- - DuplicateFormatFlagsException
- - DuplicateName
- - DuplicateNameHelper
- - Duration
- - DynAny
- - DynAny
- - DynAnyFactory
- - DynAnyFactoryHelper
- - DynAnyFactoryOperations
- - DynAnyHelper
- - DynAnyOperations
- - DynAnySeqHelper
- - DynArray
- - DynArray
- - DynArrayHelper
- - DynArrayOperations
- - DynEnum
- - DynEnum
- - DynEnumHelper
- - DynEnumOperations
- - DynFixed
- - DynFixed
- - DynFixedHelper
- - DynFixedOperations
- - DynSequence
- - DynSequence
- - DynSequenceHelper
- - DynSequenceOperations
- - DynStruct
- - DynStruct
- - DynStructHelper
- - DynStructOperations
- - DynUnion
- - DynUnion
- - DynUnionHelper
- - DynUnionOperations
- - DynValue
- - DynValue
- - DynValueBox
- - DynValueBoxOperations
- - DynValueCommon
- - DynValueCommonOperations
- - DynValueHelper
- - DynValueOperations
- - DynamicImplementation
- - DynamicImplementation
- - DynamicMBean
- - ECField
- - ECFieldF2m
- - ECFieldFp
- - ECGenParameterSpec
- - ECKey
- - ECParameterSpec
- - ECPoint
- - ECPrivateKey
- - ECPrivateKeySpec
- - ECPublicKey
- - ECPublicKeySpec
- - ENCODING_CDR_ENCAPS
- - EOFException
- - EditorKit
- - Element
- - Element
- - Element
- - ElementIterator
- - ElementType
- - Ellipse2D
- - Ellipse2D.Double
- - Ellipse2D.Float
- - EllipticCurve
- - EmptyBorder
- - EmptyStackException
- - EncodedKeySpec
- - Encoder
- - Encoding
- - EncryptedPrivateKeyInfo
- - Entity
- - Entity
- - EntityReference
- - EntityResolver
- - EntityResolver2
- - Enum
- - EnumConstantNotPresentException
- - EnumControl
- - EnumControl.Type
- - EnumMap
- - EnumSet
- - EnumSyntax
- - Enumeration
- - Environment
- - Error
- - ErrorHandler
- - ErrorListener
- - ErrorManager
- - EtchedBorder
- - Event
- - EventContext
- - EventDirContext
- - EventHandler
- - EventListener
- - EventListenerList
- - EventListenerProxy
- - EventObject
- - EventQueue
- - EventSetDescriptor
- - Exception
- - ExceptionDetailMessage
- - ExceptionInInitializerError
- - ExceptionList
- - ExceptionListener
- - Exchanger
- - ExecutionException
- - Executor
- - ExecutorCompletionService
- - ExecutorService
- - Executors
- - ExemptionMechanism
- - ExemptionMechanismException
- - ExemptionMechanismSpi
- - ExpandVetoException
- - ExportException
- - Expression
- - ExtendedRequest
- - ExtendedResponse
- - Externalizable
- - FREE_MEM
- - FactoryConfigurationError
- - FailedLoginException
- - FeatureDescriptor
- - Fidelity
- - Field
- - FieldNameHelper
- - FieldNameHelper
- - FieldPosition
- - FieldView
- - File
- - FileCacheImageInputStream
- - FileCacheImageOutputStream
- - FileChannel
- - FileChannel.MapMode
- - FileChooserUI
- - FileDescriptor
- - FileDialog
- - FileFilter
- - FileFilter
- - FileHandler
- - FileImageInputStream
- - FileImageOutputStream
- - FileInputStream
- - FileLock
- - FileLockInterruptionException
- - FileNameMap
- - FileNotFoundException
- - FileOutputStream
- - FilePermission
- - FileReader
- - FileSystemView
- - FileView
- - FileWriter
- - FilenameFilter
- - Filter
- - FilterInputStream
- - FilterOutputStream
- - FilterReader
- - FilterWriter
- - FilteredImageSource
- - FilteredRowSet
- - Finishings
- - FixedHeightLayoutCache
- - FixedHolder
- - FlatteningPathIterator
- - FlavorEvent
- - FlavorException
- - FlavorListener
- - FlavorMap
- - FlavorTable
- - Float
- - FloatBuffer
- - FloatControl
- - FloatControl.Type
- - FloatHolder
- - FloatSeqHelper
- - FloatSeqHolder
- - FlowLayout
- - FlowView
- - FlowView.FlowStrategy
- - Flushable
- - FocusAdapter
- - FocusEvent
- - FocusListener
- - FocusManager
- - FocusTraversalPolicy
- - Font
- - FontFormatException
- - FontMetrics
- - FontRenderContext
- - FontUIResource
- - FormSubmitEvent
- - FormSubmitEvent.MethodType
- - FormView
- - Format
- - Format.Field
- - FormatConversionProvider
- - FormatFlagsConversionMismatchException
- - FormatMismatch
- - FormatMismatchHelper
- - Formattable
- - FormattableFlags
- - Formatter
- - Formatter
- - FormatterClosedException
- - ForwardRequest
- - ForwardRequest
- - ForwardRequestHelper
- - ForwardRequestHelper
- - Frame
- - Future
- - FutureTask
- - GSSContext
- - GSSCredential
- - GSSException
- - GSSManager
- - GSSName
- - GZIPInputStream
- - GZIPOutputStream
- - GapContent
- - GarbageCollectorMXBean
- - GatheringByteChannel
- - GaugeMonitor
- - GaugeMonitorMBean
- - GeneralPath
- - GeneralSecurityException
- - GenericArrayType
- - GenericDeclaration
- - GenericSignatureFormatError
- - GlyphJustificationInfo
- - GlyphMetrics
- - GlyphVector
- - GlyphView
- - GlyphView.GlyphPainter
- - GradientPaint
- - GraphicAttribute
- - Graphics
- - Graphics2D
- - GraphicsConfigTemplate
- - GraphicsConfiguration
- - GraphicsDevice
- - GraphicsEnvironment
- - GrayFilter
- - GregorianCalendar
- - GridBagConstraints
- - GridBagLayout
- - GridLayout
- - Group
- - Guard
- - GuardedObject
- - HOLDING
- - HTML
- - HTML.Attribute
- - HTML.Tag
- - HTML.UnknownTag
- - HTMLDocument
- - HTMLDocument.Iterator
- - HTMLEditorKit
- - HTMLEditorKit.HTMLFactory
- - HTMLEditorKit.HTMLTextAction
- - HTMLEditorKit.InsertHTMLTextAction
- - HTMLEditorKit.LinkController
- - HTMLEditorKit.Parser
- - HTMLEditorKit.ParserCallback
- - HTMLFrameHyperlinkEvent
- - HTMLWriter
- - Handler
- - HandlerBase
- - HandshakeCompletedEvent
- - HandshakeCompletedListener
- - HasControls
- - HashAttributeSet
- - HashDocAttributeSet
- - HashMap
- - HashPrintJobAttributeSet
- - HashPrintRequestAttributeSet
- - HashPrintServiceAttributeSet
- - HashSet
- - Hashtable
- - HeadlessException
- - HierarchyBoundsAdapter
- - HierarchyBoundsListener
- - HierarchyEvent
- - HierarchyListener
- - Highlighter
- - Highlighter.Highlight
- - Highlighter.HighlightPainter
- - HostnameVerifier
- - HttpRetryException
- - HttpURLConnection
- - HttpsURLConnection
- - HyperlinkEvent
- - HyperlinkEvent.EventType
- - HyperlinkListener
- - ICC_ColorSpace
- - ICC_Profile
- - ICC_ProfileGray
- - ICC_ProfileRGB
- - IDLEntity
- - IDLType
- - IDLTypeHelper
- - IDLTypeOperations
- - ID_ASSIGNMENT_POLICY_ID
- - ID_UNIQUENESS_POLICY_ID
- - IIOByteBuffer
- - IIOException
- - IIOImage
- - IIOInvalidTreeException
- - IIOMetadata
- - IIOMetadataController
- - IIOMetadataFormat
- - IIOMetadataFormatImpl
- - IIOMetadataNode
- - IIOParam
- - IIOParamController
- - IIOReadProgressListener
- - IIOReadUpdateListener
- - IIOReadWarningListener
- - IIORegistry
- - IIOServiceProvider
- - IIOWriteProgressListener
- - IIOWriteWarningListener
- - IMPLICIT_ACTIVATION_POLICY_ID
- - IMP_LIMIT
- - INACTIVE
- - INITIALIZE
- - INTERNAL
- - INTF_REPOS
- - INVALID_ACTIVITY
- - INVALID_TRANSACTION
- - INV_FLAG
- - INV_IDENT
- - INV_OBJREF
- - INV_POLICY
- - IOException
- - IOR
- - IORHelper
- - IORHolder
- - IORInfo
- - IORInfoOperations
- - IORInterceptor
- - IORInterceptorOperations
- - IORInterceptor_3_0
- - IORInterceptor_3_0Helper
- - IORInterceptor_3_0Holder
- - IORInterceptor_3_0Operations
- - IRObject
- - IRObjectOperations
- - Icon
- - IconUIResource
- - IconView
- - IdAssignmentPolicy
- - IdAssignmentPolicyOperations
- - IdAssignmentPolicyValue
- - IdUniquenessPolicy
- - IdUniquenessPolicyOperations
- - IdUniquenessPolicyValue
- - IdentifierHelper
- - Identity
- - IdentityHashMap
- - IdentityScope
- - IllegalAccessError
- - IllegalAccessException
- - IllegalArgumentException
- - IllegalBlockSizeException
- - IllegalBlockingModeException
- - IllegalCharsetNameException
- - IllegalClassFormatException
- - IllegalComponentStateException
- - IllegalFormatCodePointException
- - IllegalFormatConversionException
- - IllegalFormatException
- - IllegalFormatFlagsException
- - IllegalFormatPrecisionException
- - IllegalFormatWidthException
- - IllegalMonitorStateException
- - IllegalPathStateException
- - IllegalSelectorException
- - IllegalStateException
- - IllegalThreadStateException
- - Image
- - ImageCapabilities
- - ImageConsumer
- - ImageFilter
- - ImageGraphicAttribute
- - ImageIO
- - ImageIcon
- - ImageInputStream
- - ImageInputStreamImpl
- - ImageInputStreamSpi
- - ImageObserver
- - ImageOutputStream
- - ImageOutputStreamImpl
- - ImageOutputStreamSpi
- - ImageProducer
- - ImageReadParam
- - ImageReader
- - ImageReaderSpi
- - ImageReaderWriterSpi
- - ImageTranscoder
- - ImageTranscoderSpi
- - ImageTypeSpecifier
- - ImageView
- - ImageWriteParam
- - ImageWriter
- - ImageWriterSpi
- - ImagingOpException
- - ImplicitActivationPolicy
- - ImplicitActivationPolicyOperations
- - ImplicitActivationPolicyValue
- - IncompatibleClassChangeError
- - IncompleteAnnotationException
- - InconsistentTypeCode
- - InconsistentTypeCode
- - InconsistentTypeCodeHelper
- - IndexColorModel
- - IndexOutOfBoundsException
- - IndexedPropertyChangeEvent
- - IndexedPropertyDescriptor
- - IndirectionException
- - Inet4Address
- - Inet6Address
- - InetAddress
- - InetSocketAddress
- - Inflater
- - InflaterInputStream
- - InheritableThreadLocal
- - Inherited
- - InitialContext
- - InitialContextFactory
- - InitialContextFactoryBuilder
- - InitialDirContext
- - InitialLdapContext
- - InlineView
- - InputContext
- - InputEvent
- - InputMap
- - InputMapUIResource
- - InputMethod
- - InputMethodContext
- - InputMethodDescriptor
- - InputMethodEvent
- - InputMethodHighlight
- - InputMethodListener
- - InputMethodRequests
- - InputMismatchException
- - InputSource
- - InputStream
- - InputStream
- - InputStream
- - InputStreamReader
- - InputSubset
- - InputVerifier
- - Insets
- - InsetsUIResource
- - InstanceAlreadyExistsException
- - InstanceNotFoundException
- - InstantiationError
- - InstantiationException
- - Instrument
- - Instrumentation
- - InsufficientResourcesException
- - IntBuffer
- - IntHolder
- - Integer
- - IntegerSyntax
- - Interceptor
- - InterceptorOperations
- - InternalError
- - InternalFrameAdapter
- - InternalFrameEvent
- - InternalFrameFocusTraversalPolicy
- - InternalFrameListener
- - InternalFrameUI
- - InternationalFormatter
- - InterruptedException
- - InterruptedIOException
- - InterruptedNamingException
- - InterruptibleChannel
- - IntrospectionException
- - IntrospectionException
- - Introspector
- - Invalid
- - InvalidActivityException
- - InvalidAddress
- - InvalidAddressHelper
- - InvalidAddressHolder
- - InvalidAlgorithmParameterException
- - InvalidApplicationException
- - InvalidAttributeIdentifierException
- - InvalidAttributeValueException
- - InvalidAttributeValueException
- - InvalidAttributesException
- - InvalidClassException
- - InvalidDnDOperationException
- - InvalidKeyException
- - InvalidKeyException
- - InvalidKeySpecException
- - InvalidMarkException
- - InvalidMidiDataException
- - InvalidName
- - InvalidName
- - InvalidName
- - InvalidNameException
- - InvalidNameHelper
- - InvalidNameHelper
- - InvalidNameHolder
- - InvalidObjectException
- - InvalidOpenTypeException
- - InvalidParameterException
- - InvalidParameterSpecException
- - InvalidPolicy
- - InvalidPolicyHelper
- - InvalidPreferencesFormatException
- - InvalidPropertiesFormatException
- - InvalidRelationIdException
- - InvalidRelationServiceException
- - InvalidRelationTypeException
- - InvalidRoleInfoException
- - InvalidRoleValueException
- - InvalidSearchControlsException
- - InvalidSearchFilterException
- - InvalidSeq
- - InvalidSlot
- - InvalidSlotHelper
- - InvalidTargetObjectTypeException
- - InvalidTransactionException
- - InvalidTypeForEncoding
- - InvalidTypeForEncodingHelper
- - InvalidValue
- - InvalidValue
- - InvalidValueHelper
- - InvocationEvent
- - InvocationHandler
- - InvocationTargetException
- - InvokeHandler
- - IstringHelper
- - ItemEvent
- - ItemListener
- - ItemSelectable
- - Iterable
- - Iterator
- - IvParameterSpec
- - JApplet
- - JButton
- - JCheckBox
- - JCheckBoxMenuItem
- - JColorChooser
- - JComboBox
- - JComboBox.KeySelectionManager
- - JComponent
- - JDesktopPane
- - JDialog
- - JEditorPane
- - JFileChooser
- - JFormattedTextField
- - JFormattedTextField.AbstractFormatter
- - JFormattedTextField.AbstractFormatterFactory
- - JFrame
- - JInternalFrame
- - JInternalFrame.JDesktopIcon
- - JLabel
- - JLayeredPane
- - JList
- - JMException
- - JMRuntimeException
- - JMXAuthenticator
- - JMXConnectionNotification
- - JMXConnector
- - JMXConnectorFactory
- - JMXConnectorProvider
- - JMXConnectorServer
- - JMXConnectorServerFactory
- - JMXConnectorServerMBean
- - JMXConnectorServerProvider
- - JMXPrincipal
- - JMXProviderException
- - JMXServerErrorException
- - JMXServiceURL
- - JMenu
- - JMenuBar
- - JMenuItem
- - JOptionPane
- - JPEGHuffmanTable
- - JPEGImageReadParam
- - JPEGImageWriteParam
- - JPEGQTable
- - JPanel
- - JPasswordField
- - JPopupMenu
- - JPopupMenu.Separator
- - JProgressBar
- - JRadioButton
- - JRadioButtonMenuItem
- - JRootPane
- - JScrollBar
- - JScrollPane
- - JSeparator
- - JSlider
- - JSpinner
- - JSpinner.DateEditor
- - JSpinner.DefaultEditor
- - JSpinner.ListEditor
- - JSpinner.NumberEditor
- - JSplitPane
- - JTabbedPane
- - JTable
- - JTable.PrintMode
- - JTableHeader
- - JTextArea
- - JTextComponent
- - JTextComponent.KeyBinding
- - JTextField
- - JTextPane
- - JToggleButton
- - JToggleButton.ToggleButtonModel
- - JToolBar
- - JToolBar.Separator
- - JToolTip
- - JTree
- - JTree.DynamicUtilTreeNode
- - JTree.EmptySelectionModel
- - JViewport
- - JWindow
- - JarEntry
- - JarException
- - JarFile
- - JarInputStream
- - JarOutputStream
- - JarURLConnection
- - JdbcRowSet
- - JobAttributes
- - JobAttributes.DefaultSelectionType
- - JobAttributes.DestinationType
- - JobAttributes.DialogType
- - JobAttributes.MultipleDocumentHandlingType
- - JobAttributes.SidesType
- - JobHoldUntil
- - JobImpressions
- - JobImpressionsCompleted
- - JobImpressionsSupported
- - JobKOctets
- - JobKOctetsProcessed
- - JobKOctetsSupported
- - JobMediaSheets
- - JobMediaSheetsCompleted
- - JobMediaSheetsSupported
- - JobMessageFromOperator
- - JobName
- - JobOriginatingUserName
- - JobPriority
- - JobPrioritySupported
- - JobSheets
- - JobState
- - JobStateReason
- - JobStateReasons
- - JoinRowSet
- - Joinable
- - KerberosKey
- - KerberosPrincipal
- - KerberosTicket
- - Kernel
- - Key
- - KeyAdapter
- - KeyAgreement
- - KeyAgreementSpi
- - KeyAlreadyExistsException
- - KeyEvent
- - KeyEventDispatcher
- - KeyEventPostProcessor
- - KeyException
- - KeyFactory
- - KeyFactorySpi
- - KeyGenerator
- - KeyGeneratorSpi
- - KeyListener
- - KeyManagementException
- - KeyManager
- - KeyManagerFactory
- - KeyManagerFactorySpi
- - KeyPair
- - KeyPairGenerator
- - KeyPairGeneratorSpi
- - KeyRep
- - KeyRep.Type
- - KeySpec
- - KeyStore
- - KeyStore.Builder
- - KeyStore.CallbackHandlerProtection
- - KeyStore.Entry
- - KeyStore.LoadStoreParameter
- - KeyStore.PasswordProtection
- - KeyStore.PrivateKeyEntry
- - KeyStore.ProtectionParameter
- - KeyStore.SecretKeyEntry
- - KeyStore.TrustedCertificateEntry
- - KeyStoreBuilderParameters
- - KeyStoreException
- - KeyStoreSpi
- - KeyStroke
- - KeyboardFocusManager
- - Keymap
- - LDAPCertStoreParameters
- - LIFESPAN_POLICY_ID
- - LOCATION_FORWARD
- - LSException
- - LSInput
- - LSLoadEvent
- - LSOutput
- - LSParser
- - LSParserFilter
- - LSProgressEvent
- - LSResourceResolver
- - LSSerializer
- - LSSerializerFilter
- - Label
- - LabelUI
- - LabelView
- - LanguageCallback
- - LastOwnerException
- - LayeredHighlighter
- - LayeredHighlighter.LayerPainter
- - LayoutFocusTraversalPolicy
- - LayoutManager
- - LayoutManager2
- - LayoutQueue
- - LdapContext
- - LdapName
- - LdapReferralException
- - Lease
- - Level
- - LexicalHandler
- - LifespanPolicy
- - LifespanPolicyOperations
- - LifespanPolicyValue
- - LimitExceededException
- - Line
- - Line.Info
- - Line2D
- - Line2D.Double
- - Line2D.Float
- - LineBorder
- - LineBreakMeasurer
- - LineEvent
- - LineEvent.Type
- - LineListener
- - LineMetrics
- - LineNumberInputStream
- - LineNumberReader
- - LineUnavailableException
- - LinkException
- - LinkLoopException
- - LinkRef
- - LinkageError
- - LinkedBlockingQueue
- - LinkedHashMap
- - LinkedHashSet
- - LinkedList
- - List
- - List
- - ListCellRenderer
- - ListDataEvent
- - ListDataListener
- - ListIterator
- - ListModel
- - ListResourceBundle
- - ListSelectionEvent
- - ListSelectionListener
- - ListSelectionModel
- - ListUI
- - ListView
- - ListenerNotFoundException
- - LoaderHandler
- - LocalObject
- - Locale
- - LocateRegistry
- - Locator
- - Locator2
- - Locator2Impl
- - LocatorImpl
- - Lock
- - LockSupport
- - LogManager
- - LogRecord
- - LogStream
- - Logger
- - LoggingMXBean
- - LoggingPermission
- - LoginContext
- - LoginException
- - LoginModule
- - Long
- - LongBuffer
- - LongHolder
- - LongLongSeqHelper
- - LongLongSeqHolder
- - LongSeqHelper
- - LongSeqHolder
- - LookAndFeel
- - LookupOp
- - LookupTable
- - MARSHAL
- - MBeanAttributeInfo
- - MBeanConstructorInfo
- - MBeanException
- - MBeanFeatureInfo
- - MBeanInfo
- - MBeanNotificationInfo
- - MBeanOperationInfo
- - MBeanParameterInfo
- - MBeanPermission
- - MBeanRegistration
- - MBeanRegistrationException
- - MBeanServer
- - MBeanServerBuilder
- - MBeanServerConnection
- - MBeanServerDelegate
- - MBeanServerDelegateMBean
- - MBeanServerFactory
- - MBeanServerForwarder
- - MBeanServerInvocationHandler
- - MBeanServerNotification
- - MBeanServerNotificationFilter
- - MBeanServerPermission
- - MBeanTrustPermission
- - MGF1ParameterSpec
- - MLet
- - MLetMBean
- - Mac
- - MacSpi
- - MalformedInputException
- - MalformedLinkException
- - MalformedObjectNameException
- - MalformedParameterizedTypeException
- - MalformedURLException
- - ManageReferralControl
- - ManagementFactory
- - ManagementPermission
- - ManagerFactoryParameters
- - Manifest
- - Map
- - Map.Entry
- - MappedByteBuffer
- - MarshalException
- - MarshalledObject
- - MaskFormatter
- - MatchResult
- - Matcher
- - Math
- - MathContext
- - MatteBorder
- - Media
- - MediaName
- - MediaPrintableArea
- - MediaSize
- - MediaSize.Engineering
- - MediaSize.ISO
- - MediaSize.JIS
- - MediaSize.NA
- - MediaSize.Other
- - MediaSizeName
- - MediaTracker
- - MediaTray
- - Member
- - MemoryCacheImageInputStream
- - MemoryCacheImageOutputStream
- - MemoryHandler
- - MemoryImageSource
- - MemoryMXBean
- - MemoryManagerMXBean
- - MemoryNotificationInfo
- - MemoryPoolMXBean
- - MemoryType
- - MemoryUsage
- - Menu
- - MenuBar
- - MenuBarUI
- - MenuComponent
- - MenuContainer
- - MenuDragMouseEvent
- - MenuDragMouseListener
- - MenuElement
- - MenuEvent
- - MenuItem
- - MenuItemUI
- - MenuKeyEvent
- - MenuKeyListener
- - MenuListener
- - MenuSelectionManager
- - MenuShortcut
- - MessageDigest
- - MessageDigestSpi
- - MessageFormat
- - MessageFormat.Field
- - MessageProp
- - MetaEventListener
- - MetaMessage
- - MetalBorders
- - MetalBorders.ButtonBorder
- - MetalBorders.Flush3DBorder
- - MetalBorders.InternalFrameBorder
- - MetalBorders.MenuBarBorder
- - MetalBorders.MenuItemBorder
- - MetalBorders.OptionDialogBorder
- - MetalBorders.PaletteBorder
- - MetalBorders.PopupMenuBorder
- - MetalBorders.RolloverButtonBorder
- - MetalBorders.ScrollPaneBorder
- - MetalBorders.TableHeaderBorder
- - MetalBorders.TextFieldBorder
- - MetalBorders.ToggleButtonBorder
- - MetalBorders.ToolBarBorder
- - MetalButtonUI
- - MetalCheckBoxIcon
- - MetalCheckBoxUI
- - MetalComboBoxButton
- - MetalComboBoxEditor
- - MetalComboBoxEditor.UIResource
- - MetalComboBoxIcon
- - MetalComboBoxUI
- - MetalDesktopIconUI
- - MetalFileChooserUI
- - MetalIconFactory
- - MetalIconFactory.FileIcon16
- - MetalIconFactory.FolderIcon16
- - MetalIconFactory.PaletteCloseIcon
- - MetalIconFactory.TreeControlIcon
- - MetalIconFactory.TreeFolderIcon
- - MetalIconFactory.TreeLeafIcon
- - MetalInternalFrameTitlePane
- - MetalInternalFrameUI
- - MetalLabelUI
- - MetalLookAndFeel
- - MetalMenuBarUI
- - MetalPopupMenuSeparatorUI
- - MetalProgressBarUI
- - MetalRadioButtonUI
- - MetalRootPaneUI
- - MetalScrollBarUI
- - MetalScrollButton
- - MetalScrollPaneUI
- - MetalSeparatorUI
- - MetalSliderUI
- - MetalSplitPaneUI
- - MetalTabbedPaneUI
- - MetalTextFieldUI
- - MetalTheme
- - MetalToggleButtonUI
- - MetalToolBarUI
- - MetalToolTipUI
- - MetalTreeUI
- - Method
- - MethodDescriptor
- - MidiChannel
- - MidiDevice
- - MidiDevice.Info
- - MidiDeviceProvider
- - MidiEvent
- - MidiFileFormat
- - MidiFileReader
- - MidiFileWriter
- - MidiMessage
- - MidiSystem
- - MidiUnavailableException
- - MimeTypeParseException
- - MinimalHTMLWriter
- - MissingFormatArgumentException
- - MissingFormatWidthException
- - MissingResourceException
- - Mixer
- - Mixer.Info
- - MixerProvider
- - ModelMBean
- - ModelMBeanAttributeInfo
- - ModelMBeanConstructorInfo
- - ModelMBeanInfo
- - ModelMBeanInfoSupport
- - ModelMBeanNotificationBroadcaster
- - ModelMBeanNotificationInfo
- - ModelMBeanOperationInfo
- - ModificationItem
- - Modifier
- - Monitor
- - MonitorMBean
- - MonitorNotification
- - MonitorSettingException
- - MouseAdapter
- - MouseDragGestureRecognizer
- - MouseEvent
- - MouseInfo
- - MouseInputAdapter
- - MouseInputListener
- - MouseListener
- - MouseMotionAdapter
- - MouseMotionListener
- - MouseWheelEvent
- - MouseWheelListener
- - MultiButtonUI
- - MultiColorChooserUI
- - MultiComboBoxUI
- - MultiDesktopIconUI
- - MultiDesktopPaneUI
- - MultiDoc
- - MultiDocPrintJob
- - MultiDocPrintService
- - MultiFileChooserUI
- - MultiInternalFrameUI
- - MultiLabelUI
- - MultiListUI
- - MultiLookAndFeel
- - MultiMenuBarUI
- - MultiMenuItemUI
- - MultiOptionPaneUI
- - MultiPanelUI
- - MultiPixelPackedSampleModel
- - MultiPopupMenuUI
- - MultiProgressBarUI
- - MultiRootPaneUI
- - MultiScrollBarUI
- - MultiScrollPaneUI
- - MultiSeparatorUI
- - MultiSliderUI
- - MultiSpinnerUI
- - MultiSplitPaneUI
- - MultiTabbedPaneUI
- - MultiTableHeaderUI
- - MultiTableUI
- - MultiTextUI
- - MultiToolBarUI
- - MultiToolTipUI
- - MultiTreeUI
- - MultiViewportUI
- - MulticastSocket
- - MultipleComponentProfileHelper
- - MultipleComponentProfileHolder
- - MultipleDocumentHandling
- - MultipleMaster
- - MutableAttributeSet
- - MutableComboBoxModel
- - MutableTreeNode
- - NON_EXISTENT
- - NO_IMPLEMENT
- - NO_MEMORY
- - NO_PERMISSION
- - NO_RESOURCES
- - NO_RESPONSE
- - NVList
- - Name
- - NameAlreadyBoundException
- - NameCallback
- - NameClassPair
- - NameComponent
- - NameComponentHelper
- - NameComponentHolder
- - NameDynAnyPair
- - NameDynAnyPairHelper
- - NameDynAnyPairSeqHelper
- - NameHelper
- - NameHolder
- - NameList
- - NameNotFoundException
- - NameParser
- - NameValuePair
- - NameValuePair
- - NameValuePairHelper
- - NameValuePairHelper
- - NameValuePairSeqHelper
- - NamedNodeMap
- - NamedValue
- - NamespaceChangeListener
- - NamespaceContext
- - NamespaceSupport
- - Naming
- - NamingContext
- - NamingContextExt
- - NamingContextExtHelper
- - NamingContextExtHolder
- - NamingContextExtOperations
- - NamingContextExtPOA
- - NamingContextHelper
- - NamingContextHolder
- - NamingContextOperations
- - NamingContextPOA
- - NamingEnumeration
- - NamingEvent
- - NamingException
- - NamingExceptionEvent
- - NamingListener
- - NamingManager
- - NamingSecurityException
- - NavigationFilter
- - NavigationFilter.FilterBypass
- - NegativeArraySizeException
- - NetPermission
- - NetworkInterface
- - NoClassDefFoundError
- - NoConnectionPendingException
- - NoContext
- - NoContextHelper
- - NoInitialContextException
- - NoPermissionException
- - NoRouteToHostException
- - NoServant
- - NoServantHelper
- - NoSuchAlgorithmException
- - NoSuchAttributeException
- - NoSuchElementException
- - NoSuchFieldError
- - NoSuchFieldException
- - NoSuchMethodError
- - NoSuchMethodException
- - NoSuchObjectException
- - NoSuchPaddingException
- - NoSuchProviderException
- - Node
- - NodeChangeEvent
- - NodeChangeListener
- - NodeList
- - NonReadableChannelException
- - NonWritableChannelException
- - NoninvertibleTransformException
- - NotActiveException
- - NotBoundException
- - NotCompliantMBeanException
- - NotContextException
- - NotEmpty
- - NotEmptyHelper
- - NotEmptyHolder
- - NotFound
- - NotFoundHelper
- - NotFoundHolder
- - NotFoundReason
- - NotFoundReasonHelper
- - NotFoundReasonHolder
- - NotOwnerException
- - NotSerializableException
- - NotYetBoundException
- - NotYetConnectedException
- - Notation
- - Notification
- - NotificationBroadcaster
- - NotificationBroadcasterSupport
- - NotificationEmitter
- - NotificationFilter
- - NotificationFilterSupport
- - NotificationListener
- - NotificationResult
- - NullCipher
- - NullPointerException
- - Number
- - NumberFormat
- - NumberFormat.Field
- - NumberFormatException
- - NumberFormatter
- - NumberOfDocuments
- - NumberOfInterveningJobs
- - NumberUp
- - NumberUpSupported
- - NumericShaper
- - OAEPParameterSpec
- - OBJECT_NOT_EXIST
- - OBJ_ADAPTER
- - OMGVMCID
- - ORB
- - ORB
- - ORBIdHelper
- - ORBInitInfo
- - ORBInitInfoOperations
- - ORBInitializer
- - ORBInitializerOperations
- - ObjID
- - Object
- - Object
- - ObjectAlreadyActive
- - ObjectAlreadyActiveHelper
- - ObjectChangeListener
- - ObjectFactory
- - ObjectFactoryBuilder
- - ObjectHelper
- - ObjectHolder
- - ObjectIdHelper
- - ObjectIdHelper
- - ObjectImpl
- - ObjectImpl
- - ObjectInput
- - ObjectInputStream
- - ObjectInputStream.GetField
- - ObjectInputValidation
- - ObjectInstance
- - ObjectName
- - ObjectNotActive
- - ObjectNotActiveHelper
- - ObjectOutput
- - ObjectOutputStream
- - ObjectOutputStream.PutField
- - ObjectReferenceFactory
- - ObjectReferenceFactoryHelper
- - ObjectReferenceFactoryHolder
- - ObjectReferenceTemplate
- - ObjectReferenceTemplateHelper
- - ObjectReferenceTemplateHolder
- - ObjectReferenceTemplateSeqHelper
- - ObjectReferenceTemplateSeqHolder
- - ObjectStreamClass
- - ObjectStreamConstants
- - ObjectStreamException
- - ObjectStreamField
- - ObjectView
- - Observable
- - Observer
- - OceanTheme
- - OctetSeqHelper
- - OctetSeqHolder
- - Oid
- - OpenDataException
- - OpenMBeanAttributeInfo
- - OpenMBeanAttributeInfoSupport
- - OpenMBeanConstructorInfo
- - OpenMBeanConstructorInfoSupport
- - OpenMBeanInfo
- - OpenMBeanInfoSupport
- - OpenMBeanOperationInfo
- - OpenMBeanOperationInfoSupport
- - OpenMBeanParameterInfo
- - OpenMBeanParameterInfoSupport
- - OpenType
- - OpenType
- - OperatingSystemMXBean
- - Operation
- - OperationNotSupportedException
- - OperationsException
- - Option
- - OptionPaneUI
- - OptionalDataException
- - OrientationRequested
- - OutOfMemoryError
- - OutputDeviceAssigned
- - OutputKeys
- - OutputStream
- - OutputStream
- - OutputStream
- - OutputStreamWriter
- - OverlappingFileLockException
- - OverlayLayout
- - Override
- - Owner
- - PBEKey
- - PBEKeySpec
- - PBEParameterSpec
- - PDLOverrideSupported
- - PERSIST_STORE
- - PKCS8EncodedKeySpec
- - PKIXBuilderParameters
- - PKIXCertPathBuilderResult
- - PKIXCertPathChecker
- - PKIXCertPathValidatorResult
- - PKIXParameters
- - POA
- - POAHelper
- - POAManager
- - POAManagerOperations
- - POAOperations
- - PRIVATE_MEMBER
- - PSSParameterSpec
- - PSource
- - PSource.PSpecified
- - PUBLIC_MEMBER
- - Pack200
- - Pack200.Packer
- - Pack200.Unpacker
- - Package
- - PackedColorModel
- - PageAttributes
- - PageAttributes.ColorType
- - PageAttributes.MediaType
- - PageAttributes.OrientationRequestedType
- - PageAttributes.OriginType
- - PageAttributes.PrintQualityType
- - PageFormat
- - PageRanges
- - Pageable
- - PagedResultsControl
- - PagedResultsResponseControl
- - PagesPerMinute
- - PagesPerMinuteColor
- - Paint
- - PaintContext
- - PaintEvent
- - Panel
- - PanelUI
- - Paper
- - ParagraphView
- - ParagraphView
- - Parameter
- - ParameterBlock
- - ParameterDescriptor
- - ParameterMetaData
- - ParameterMode
- - ParameterModeHelper
- - ParameterModeHolder
- - ParameterizedType
- - ParseException
- - ParsePosition
- - Parser
- - Parser
- - ParserAdapter
- - ParserConfigurationException
- - ParserDelegator
- - ParserFactory
- - PartialResultException
- - PasswordAuthentication
- - PasswordCallback
- - PasswordView
- - Patch
- - PathIterator
- - Pattern
- - PatternSyntaxException
- - Permission
- - Permission
- - PermissionCollection
- - Permissions
- - PersistenceDelegate
- - PersistentMBean
- - PhantomReference
- - Pipe
- - Pipe.SinkChannel
- - Pipe.SourceChannel
- - PipedInputStream
- - PipedOutputStream
- - PipedReader
- - PipedWriter
- - PixelGrabber
- - PixelInterleavedSampleModel
- - PlainDocument
- - PlainView
- - Point
- - Point2D
- - Point2D.Double
- - Point2D.Float
- - PointerInfo
- - Policy
- - Policy
- - Policy
- - PolicyError
- - PolicyErrorCodeHelper
- - PolicyErrorHelper
- - PolicyErrorHolder
- - PolicyFactory
- - PolicyFactoryOperations
- - PolicyHelper
- - PolicyHolder
- - PolicyListHelper
- - PolicyListHolder
- - PolicyNode
- - PolicyOperations
- - PolicyQualifierInfo
- - PolicyTypeHelper
- - Polygon
- - PooledConnection
- - Popup
- - PopupFactory
- - PopupMenu
- - PopupMenuEvent
- - PopupMenuListener
- - PopupMenuUI
- - Port
- - Port.Info
- - PortUnreachableException
- - PortableRemoteObject
- - PortableRemoteObjectDelegate
- - Position
- - Position.Bias
- - Predicate
- - PreferenceChangeEvent
- - PreferenceChangeListener
- - Preferences
- - PreferencesFactory
- - PreparedStatement
- - PresentationDirection
- - Principal
- - Principal
- - PrincipalHolder
- - PrintEvent
- - PrintException
- - PrintGraphics
- - PrintJob
- - PrintJobAdapter
- - PrintJobAttribute
- - PrintJobAttributeEvent
- - PrintJobAttributeListener
- - PrintJobAttributeSet
- - PrintJobEvent
- - PrintJobListener
- - PrintQuality
- - PrintRequestAttribute
- - PrintRequestAttributeSet
- - PrintService
- - PrintServiceAttribute
- - PrintServiceAttributeEvent
- - PrintServiceAttributeListener
- - PrintServiceAttributeSet
- - PrintServiceLookup
- - PrintStream
- - PrintWriter
- - Printable
- - PrinterAbortException
- - PrinterException
- - PrinterGraphics
- - PrinterIOException
- - PrinterInfo
- - PrinterIsAcceptingJobs
- - PrinterJob
- - PrinterLocation
- - PrinterMakeAndModel
- - PrinterMessageFromOperator
- - PrinterMoreInfo
- - PrinterMoreInfoManufacturer
- - PrinterName
- - PrinterResolution
- - PrinterState
- - PrinterStateReason
- - PrinterStateReasons
- - PrinterURI
- - PriorityBlockingQueue
- - PriorityQueue
- - PrivateClassLoader
- - PrivateCredentialPermission
- - PrivateKey
- - PrivateMLet
- - PrivilegedAction
- - PrivilegedActionException
- - PrivilegedExceptionAction
- - Process
- - ProcessBuilder
- - ProcessingInstruction
- - ProfileDataException
- - ProfileIdHelper
- - ProgressBarUI
- - ProgressMonitor
- - ProgressMonitorInputStream
- - Properties
- - PropertyChangeEvent
- - PropertyChangeListener
- - PropertyChangeListenerProxy
- - PropertyChangeSupport
- - PropertyDescriptor
- - PropertyEditor
- - PropertyEditorManager
- - PropertyEditorSupport
- - PropertyPermission
- - PropertyResourceBundle
- - PropertyVetoException
- - ProtectionDomain
- - ProtocolException
- - Provider
- - Provider.Service
- - ProviderException
- - Proxy
- - Proxy
- - Proxy.Type
- - ProxySelector
- - PublicKey
- - PushbackInputStream
- - PushbackReader
- - QName
- - QuadCurve2D
- - QuadCurve2D.Double
- - QuadCurve2D.Float
- - Query
- - QueryEval
- - QueryExp
- - Queue
- - QueuedJobCount
- - RC2ParameterSpec
- - RC5ParameterSpec
- - REBIND
- - REQUEST_PROCESSING_POLICY_ID
- - RGBImageFilter
- - RMIClassLoader
- - RMIClassLoaderSpi
- - RMIClientSocketFactory
- - RMIConnection
- - RMIConnectionImpl
- - RMIConnectionImpl_Stub
- - RMIConnector
- - RMIConnectorServer
- - RMICustomMaxStreamFormat
- - RMIFailureHandler
- - RMIIIOPServerImpl
- - RMIJRMPServerImpl
- - RMISecurityException
- - RMISecurityManager
- - RMIServer
- - RMIServerImpl
- - RMIServerImpl_Stub
- - RMIServerSocketFactory
- - RMISocketFactory
- - RSAKey
- - RSAKeyGenParameterSpec
- - RSAMultiPrimePrivateCrtKey
- - RSAMultiPrimePrivateCrtKeySpec
- - RSAOtherPrimeInfo
- - RSAPrivateCrtKey
- - RSAPrivateCrtKeySpec
- - RSAPrivateKey
- - RSAPrivateKeySpec
- - RSAPublicKey
- - RSAPublicKeySpec
- - RTFEditorKit
- - Random
- - RandomAccess
- - RandomAccessFile
- - Raster
- - RasterFormatException
- - RasterOp
- - Rdn
- - ReadOnlyBufferException
- - ReadWriteLock
- - Readable
- - ReadableByteChannel
- - Reader
- - RealmCallback
- - RealmChoiceCallback
- - Receiver
- - Rectangle
- - Rectangle2D
- - Rectangle2D.Double
- - Rectangle2D.Float
- - RectangularShape
- - ReentrantLock
- - ReentrantReadWriteLock
- - ReentrantReadWriteLock.ReadLock
- - ReentrantReadWriteLock.WriteLock
- - Ref
- - RefAddr
- - Reference
- - Reference
- - ReferenceQueue
- - ReferenceUriSchemesSupported
- - Referenceable
- - ReferralException
- - ReflectPermission
- - ReflectionException
- - RefreshFailedException
- - Refreshable
- - Region
- - RegisterableService
- - Registry
- - RegistryHandler
- - RejectedExecutionException
- - RejectedExecutionHandler
- - Relation
- - RelationException
- - RelationNotFoundException
- - RelationNotification
- - RelationService
- - RelationServiceMBean
- - RelationServiceNotRegisteredException
- - RelationSupport
- - RelationSupportMBean
- - RelationType
- - RelationTypeNotFoundException
- - RelationTypeSupport
- - RemarshalException
- - Remote
- - RemoteCall
- - RemoteException
- - RemoteObject
- - RemoteObjectInvocationHandler
- - RemoteRef
- - RemoteServer
- - RemoteStub
- - RenderContext
- - RenderableImage
- - RenderableImageOp
- - RenderableImageProducer
- - RenderedImage
- - RenderedImageFactory
- - Renderer
- - RenderingHints
- - RenderingHints.Key
- - RepaintManager
- - ReplicateScaleFilter
- - RepositoryIdHelper
- - Request
- - RequestInfo
- - RequestInfoOperations
- - RequestProcessingPolicy
- - RequestProcessingPolicyOperations
- - RequestProcessingPolicyValue
- - RequestingUserName
- - RequiredModelMBean
- - RescaleOp
- - ResolutionSyntax
- - ResolveResult
- - Resolver
- - ResourceBundle
- - ResponseCache
- - ResponseHandler
- - Result
- - ResultSet
- - ResultSetMetaData
- - Retention
- - RetentionPolicy
- - ReverbType
- - Robot
- - Role
- - RoleInfo
- - RoleInfoNotFoundException
- - RoleList
- - RoleNotFoundException
- - RoleResult
- - RoleStatus
- - RoleUnresolved
- - RoleUnresolvedList
- - RootPaneContainer
- - RootPaneUI
- - RoundRectangle2D
- - RoundRectangle2D.Double
- - RoundRectangle2D.Float
- - RoundingMode
- - RowMapper
- - RowSet
- - RowSetEvent
- - RowSetInternal
- - RowSetListener
- - RowSetMetaData
- - RowSetMetaDataImpl
- - RowSetReader
- - RowSetWarning
- - RowSetWriter
- - RuleBasedCollator
- - RunTime
- - RunTimeOperations
- - Runnable
- - Runtime
- - RuntimeErrorException
- - RuntimeException
- - RuntimeMBeanException
- - RuntimeMXBean
- - RuntimeOperationsException
- - RuntimePermission
- - SAXException
- - SAXNotRecognizedException
- - SAXNotSupportedException
- - SAXParseException
- - SAXParser
- - SAXParserFactory
- - SAXResult
- - SAXSource
- - SAXTransformerFactory
- - SERVANT_RETENTION_POLICY_ID
- - SQLData
- - SQLException
- - SQLInput
- - SQLInputImpl
- - SQLOutput
- - SQLOutputImpl
- - SQLPermission
- - SQLWarning
- - SSLContext
- - SSLContextSpi
- - SSLEngine
- - SSLEngineResult
- - SSLEngineResult.HandshakeStatus
- - SSLEngineResult.Status
- - SSLException
- - SSLHandshakeException
- - SSLKeyException
- - SSLPeerUnverifiedException
- - SSLPermission
- - SSLProtocolException
- - SSLServerSocket
- - SSLServerSocketFactory
- - SSLSession
- - SSLSessionBindingEvent
- - SSLSessionBindingListener
- - SSLSessionContext
- - SSLSocket
- - SSLSocketFactory
- - SUCCESSFUL
- - SYNC_WITH_TRANSPORT
- - SYSTEM_EXCEPTION
- - SampleModel
- - Sasl
- - SaslClient
- - SaslClientFactory
- - SaslException
- - SaslServer
- - SaslServerFactory
- - Savepoint
- - Scanner
- - ScatteringByteChannel
- - ScheduledExecutorService
- - ScheduledFuture
- - ScheduledThreadPoolExecutor
- - Schema
- - SchemaFactory
- - SchemaFactoryLoader
- - SchemaViolationException
- - ScrollBarUI
- - ScrollPane
- - ScrollPaneAdjustable
- - ScrollPaneConstants
- - ScrollPaneLayout
- - ScrollPaneLayout.UIResource
- - ScrollPaneUI
- - Scrollable
- - Scrollbar
- - SealedObject
- - SearchControls
- - SearchResult
- - SecretKey
- - SecretKeyFactory
- - SecretKeyFactorySpi
- - SecretKeySpec
- - SecureCacheResponse
- - SecureClassLoader
- - SecureRandom
- - SecureRandomSpi
- - Security
- - SecurityException
- - SecurityManager
- - SecurityPermission
- - Segment
- - SelectableChannel
- - SelectionKey
- - Selector
- - SelectorProvider
- - Semaphore
- - SeparatorUI
- - Sequence
- - SequenceInputStream
- - Sequencer
- - Sequencer.SyncMode
- - SerialArray
- - SerialBlob
- - SerialClob
- - SerialDatalink
- - SerialException
- - SerialJavaObject
- - SerialRef
- - SerialStruct
- - Serializable
- - SerializablePermission
- - Servant
- - ServantActivator
- - ServantActivatorHelper
- - ServantActivatorOperations
- - ServantActivatorPOA
- - ServantAlreadyActive
- - ServantAlreadyActiveHelper
- - ServantLocator
- - ServantLocatorHelper
- - ServantLocatorOperations
- - ServantLocatorPOA
- - ServantManager
- - ServantManagerOperations
- - ServantNotActive
- - ServantNotActiveHelper
- - ServantObject
- - ServantRetentionPolicy
- - ServantRetentionPolicyOperations
- - ServantRetentionPolicyValue
- - ServerCloneException
- - ServerError
- - ServerException
- - ServerIdHelper
- - ServerNotActiveException
- - ServerRef
- - ServerRequest
- - ServerRequestInfo
- - ServerRequestInfoOperations
- - ServerRequestInterceptor
- - ServerRequestInterceptorOperations
- - ServerRuntimeException
- - ServerSocket
- - ServerSocketChannel
- - ServerSocketFactory
- - ServiceContext
- - ServiceContextHelper
- - ServiceContextHolder
- - ServiceContextListHelper
- - ServiceContextListHolder
- - ServiceDetail
- - ServiceDetailHelper
- - ServiceIdHelper
- - ServiceInformation
- - ServiceInformationHelper
- - ServiceInformationHolder
- - ServiceNotFoundException
- - ServicePermission
- - ServiceRegistry
- - ServiceRegistry.Filter
- - ServiceUI
- - ServiceUIFactory
- - ServiceUnavailableException
- - Set
- - SetOfIntegerSyntax
- - SetOverrideType
- - SetOverrideTypeHelper
- - Severity
- - Shape
- - ShapeGraphicAttribute
- - SheetCollate
- - Short
- - ShortBuffer
- - ShortBufferException
- - ShortHolder
- - ShortLookupTable
- - ShortMessage
- - ShortSeqHelper
- - ShortSeqHolder
- - Sides
- - Signature
- - SignatureException
- - SignatureSpi
- - SignedObject
- - Signer
- - SimpleAttributeSet
- - SimpleBeanInfo
- - SimpleDateFormat
- - SimpleDoc
- - SimpleFormatter
- - SimpleTimeZone
- - SimpleType
- - SinglePixelPackedSampleModel
- - SingleSelectionModel
- - Size2DSyntax
- - SizeLimitExceededException
- - SizeRequirements
- - SizeSequence
- - Skeleton
- - SkeletonMismatchException
- - SkeletonNotFoundException
- - SliderUI
- - Socket
- - SocketAddress
- - SocketChannel
- - SocketException
- - SocketFactory
- - SocketHandler
- - SocketImpl
- - SocketImplFactory
- - SocketOptions
- - SocketPermission
- - SocketSecurityException
- - SocketTimeoutException
- - SoftBevelBorder
- - SoftReference
- - SortControl
- - SortKey
- - SortResponseControl
- - SortedMap
- - SortedSet
- - SortingFocusTraversalPolicy
- - Soundbank
- - SoundbankReader
- - SoundbankResource
- - Source
- - SourceDataLine
- - SourceLocator
- - SpinnerDateModel
- - SpinnerListModel
- - SpinnerModel
- - SpinnerNumberModel
- - SpinnerUI
- - SplitPaneUI
- - Spring
- - SpringLayout
- - SpringLayout.Constraints
- - SslRMIClientSocketFactory
- - SslRMIServerSocketFactory
- - Stack
- - StackOverflowError
- - StackTraceElement
- - StandardMBean
- - StartTlsRequest
- - StartTlsResponse
- - State
- - StateEdit
- - StateEditable
- - StateFactory
- - Statement
- - Statement
- - StreamCorruptedException
- - StreamHandler
- - StreamPrintService
- - StreamPrintServiceFactory
- - StreamResult
- - StreamSource
- - StreamTokenizer
- - Streamable
- - StreamableValue
- - StrictMath
- - String
- - StringBuffer
- - StringBufferInputStream
- - StringBuilder
- - StringCharacterIterator
- - StringContent
- - StringHolder
- - StringIndexOutOfBoundsException
- - StringMonitor
- - StringMonitorMBean
- - StringNameHelper
- - StringReader
- - StringRefAddr
- - StringSelection
- - StringSeqHelper
- - StringSeqHolder
- - StringTokenizer
- - StringValueExp
- - StringValueHelper
- - StringWriter
- - Stroke
- - Struct
- - StructMember
- - StructMemberHelper
- - Stub
- - StubDelegate
- - StubNotFoundException
- - Style
- - StyleConstants
- - StyleConstants.CharacterConstants
- - StyleConstants.ColorConstants
- - StyleConstants.FontConstants
- - StyleConstants.ParagraphConstants
- - StyleContext
- - StyleSheet
- - StyleSheet.BoxPainter
- - StyleSheet.ListPainter
- - StyledDocument
- - StyledEditorKit
- - StyledEditorKit.AlignmentAction
- - StyledEditorKit.BoldAction
- - StyledEditorKit.FontFamilyAction
- - StyledEditorKit.FontSizeAction
- - StyledEditorKit.ForegroundAction
- - StyledEditorKit.ItalicAction
- - StyledEditorKit.StyledTextAction
- - StyledEditorKit.UnderlineAction
- - Subject
- - SubjectDelegationPermission
- - SubjectDomainCombiner
- - SupportedValuesAttribute
- - SuppressWarnings
- - SwingConstants
- - SwingPropertyChangeSupport
- - SwingUtilities
- - SyncFactory
- - SyncFactoryException
- - SyncFailedException
- - SyncProvider
- - SyncProviderException
- - SyncResolver
- - SyncScopeHelper
- - SynchronousQueue
- - SynthConstants
- - SynthContext
- - SynthGraphicsUtils
- - SynthLookAndFeel
- - SynthPainter
- - SynthStyle
- - SynthStyleFactory
- - Synthesizer
- - SysexMessage
- - System
- - SystemColor
- - SystemException
- - SystemFlavorMap
- - TAG_ALTERNATE_IIOP_ADDRESS
- - TAG_CODE_SETS
- - TAG_INTERNET_IOP
- - TAG_JAVA_CODEBASE
- - TAG_MULTIPLE_COMPONENTS
- - TAG_ORB_TYPE
- - TAG_POLICIES
- - TAG_RMI_CUSTOM_MAX_STREAM_FORMAT
- - TCKind
- - THREAD_POLICY_ID
- - TIMEOUT
- - TRANSACTION_MODE
- - TRANSACTION_REQUIRED
- - TRANSACTION_ROLLEDBACK
- - TRANSACTION_UNAVAILABLE
- - TRANSIENT
- - TRANSPORT_RETRY
- - TabExpander
- - TabSet
- - TabStop
- - TabableView
- - TabbedPaneUI
- - TableCellEditor
- - TableCellRenderer
- - TableColumn
- - TableColumnModel
- - TableColumnModelEvent
- - TableColumnModelListener
- - TableHeaderUI
- - TableModel
- - TableModelEvent
- - TableModelListener
- - TableUI
- - TableView
- - TabularData
- - TabularDataSupport
- - TabularType
- - TagElement
- - TaggedComponent
- - TaggedComponentHelper
- - TaggedComponentHolder
- - TaggedProfile
- - TaggedProfileHelper
- - TaggedProfileHolder
- - Target
- - TargetDataLine
- - TargetedNotification
- - Templates
- - TemplatesHandler
- - Text
- - TextAction
- - TextArea
- - TextAttribute
- - TextComponent
- - TextEvent
- - TextField
- - TextHitInfo
- - TextInputCallback
- - TextLayout
- - TextLayout.CaretPolicy
- - TextListener
- - TextMeasurer
- - TextOutputCallback
- - TextSyntax
- - TextUI
- - TexturePaint
- - Thread
- - Thread.State
- - Thread.UncaughtExceptionHandler
- - ThreadDeath
- - ThreadFactory
- - ThreadGroup
- - ThreadInfo
- - ThreadLocal
- - ThreadMXBean
- - ThreadPolicy
- - ThreadPolicyOperations
- - ThreadPolicyValue
- - ThreadPoolExecutor
- - ThreadPoolExecutor.AbortPolicy
- - ThreadPoolExecutor.CallerRunsPolicy
- - ThreadPoolExecutor.DiscardOldestPolicy
- - ThreadPoolExecutor.DiscardPolicy
- - Throwable
- - Tie
- - TileObserver
- - Time
- - TimeLimitExceededException
- - TimeUnit
- - TimeZone
- - TimeoutException
- - Timer
- - Timer
- - Timer
- - TimerAlarmClockNotification
- - TimerMBean
- - TimerNotification
- - TimerTask
- - Timestamp
- - Timestamp
- - TitledBorder
- - TooManyListenersException
- - ToolBarUI
- - ToolTipManager
- - ToolTipUI
- - Toolkit
- - Track
- - TransactionRequiredException
- - TransactionRolledbackException
- - TransactionService
- - TransactionalWriter
- - TransferHandler
- - Transferable
- - TransformAttribute
- - Transformer
- - TransformerConfigurationException
- - TransformerException
- - TransformerFactory
- - TransformerFactoryConfigurationError
- - TransformerHandler
- - Transmitter
- - Transparency
- - TreeCellEditor
- - TreeCellRenderer
- - TreeExpansionEvent
- - TreeExpansionListener
- - TreeMap
- - TreeModel
- - TreeModelEvent
- - TreeModelListener
- - TreeNode
- - TreePath
- - TreeSelectionEvent
- - TreeSelectionListener
- - TreeSelectionModel
- - TreeSet
- - TreeUI
- - TreeWillExpandListener
- - TrustAnchor
- - TrustManager
- - TrustManagerFactory
- - TrustManagerFactorySpi
- - Type
- - TypeCode
- - TypeCodeHolder
- - TypeInfo
- - TypeInfoProvider
- - TypeMismatch
- - TypeMismatch
- - TypeMismatch
- - TypeMismatchHelper
- - TypeMismatchHelper
- - TypeNotPresentException
- - TypeVariable
- - Types
- - UID
- - UIDefaults
- - UIDefaults.ActiveValue
- - UIDefaults.LazyInputMap
- - UIDefaults.LazyValue
- - UIDefaults.ProxyLazyValue
- - UIManager
- - UIManager.LookAndFeelInfo
- - UIResource
- - ULongLongSeqHelper
- - ULongLongSeqHolder
- - ULongSeqHelper
- - ULongSeqHolder
- - UNKNOWN
- - UNKNOWN
- - UNSUPPORTED_POLICY
- - UNSUPPORTED_POLICY_VALUE
- - URI
- - URIException
- - URIResolver
- - URISyntax
- - URISyntaxException
- - URL
- - URLClassLoader
- - URLConnection
- - URLDecoder
- - URLEncoder
- - URLStreamHandler
- - URLStreamHandlerFactory
- - URLStringHelper
- - USER_EXCEPTION
- - UShortSeqHelper
- - UShortSeqHolder
- - UTFDataFormatException
- - UUID
- - UndeclaredThrowableException
- - UndoManager
- - UndoableEdit
- - UndoableEditEvent
- - UndoableEditListener
- - UndoableEditSupport
- - UnexpectedException
- - UnicastRemoteObject
- - UnionMember
- - UnionMemberHelper
- - UnknownEncoding
- - UnknownEncodingHelper
- - UnknownError
- - UnknownException
- - UnknownFormatConversionException
- - UnknownFormatFlagsException
- - UnknownGroupException
- - UnknownHostException
- - UnknownHostException
- - UnknownObjectException
- - UnknownServiceException
- - UnknownUserException
- - UnknownUserExceptionHelper
- - UnknownUserExceptionHolder
- - UnmappableCharacterException
- - UnmarshalException
- - UnmodifiableClassException
- - UnmodifiableSetException
- - UnrecoverableEntryException
- - UnrecoverableKeyException
- - Unreferenced
- - UnresolvedAddressException
- - UnresolvedPermission
- - UnsatisfiedLinkError
- - UnsolicitedNotification
- - UnsolicitedNotificationEvent
- - UnsolicitedNotificationListener
- - UnsupportedAddressTypeException
- - UnsupportedAudioFileException
- - UnsupportedCallbackException
- - UnsupportedCharsetException
- - UnsupportedClassVersionError
- - UnsupportedEncodingException
- - UnsupportedFlavorException
- - UnsupportedLookAndFeelException
- - UnsupportedOperationException
- - UserDataHandler
- - UserException
- - Util
- - UtilDelegate
- - Utilities
- - VMID
- - VM_ABSTRACT
- - VM_CUSTOM
- - VM_NONE
- - VM_TRUNCATABLE
- - Validator
- - ValidatorHandler
- - ValueBase
- - ValueBaseHelper
- - ValueBaseHolder
- - ValueExp
- - ValueFactory
- - ValueHandler
- - ValueHandlerMultiFormat
- - ValueInputStream
- - ValueMember
- - ValueMemberHelper
- - ValueOutputStream
- - VariableHeightLayoutCache
- - Vector
- - VerifyError
- - VersionSpecHelper
- - VetoableChangeListener
- - VetoableChangeListenerProxy
- - VetoableChangeSupport
- - View
- - ViewFactory
- - ViewportLayout
- - ViewportUI
- - VirtualMachineError
- - Visibility
- - VisibilityHelper
- - VoiceStatus
- - Void
- - VolatileImage
- - WCharSeqHelper
- - WCharSeqHolder
- - WStringSeqHelper
- - WStringSeqHolder
- - WStringValueHelper
- - WeakHashMap
- - WeakReference
- - WebRowSet
- - WildcardType
- - Window
- - WindowAdapter
- - WindowConstants
- - WindowEvent
- - WindowFocusListener
- - WindowListener
- - WindowStateListener
- - WrappedPlainView
- - WritableByteChannel
- - WritableRaster
- - WritableRenderedImage
- - WriteAbortedException
- - Writer
- - WrongAdapter
- - WrongAdapterHelper
- - WrongPolicy
- - WrongPolicyHelper
- - WrongTransaction
- - WrongTransactionHelper
- - WrongTransactionHolder
- - X500Principal
- - X500PrivateCredential
- - X509CRL
- - X509CRLEntry
- - X509CRLSelector
- - X509CertSelector
- - X509Certificate
- - X509Certificate
- - X509EncodedKeySpec
- - X509ExtendedKeyManager
- - X509Extension
- - X509KeyManager
- - X509TrustManager
- - XAConnection
- - XADataSource
- - XAException
- - XAResource
- - XMLConstants
- - XMLDecoder
- - XMLEncoder
- - XMLFilter
- - XMLFilterImpl
- - XMLFormatter
- - XMLGregorianCalendar
- - XMLParseException
- - XMLReader
- - XMLReaderAdapter
- - XMLReaderFactory
- - XPath
- - XPathConstants
- - XPathException
- - XPathExpression
- - XPathExpressionException
- - XPathFactory
- - XPathFactoryConfigurationException
- - XPathFunction
- - XPathFunctionException
- - XPathFunctionResolver
- - XPathVariableResolver
- - Xid
- - XmlReader
- - XmlWriter
- - ZipEntry
- - ZipException
- - ZipFile
- - ZipInputStream
- - ZipOutputStream
- - ZoneView
- - _BindingIteratorImplBase
- - _BindingIteratorStub
- - _DynAnyFactoryStub
- - _DynAnyStub
- - _DynArrayStub
- - _DynEnumStub
- - _DynFixedStub
- - _DynSequenceStub
- - _DynStructStub
- - _DynUnionStub
- - _DynValueStub
- - _IDLTypeStub
- - _NamingContextExtStub
- - _NamingContextImplBase
- - _NamingContextStub
- - _PolicyStub
- - _Remote_Stub
- - _ServantActivatorStub
- - _ServantLocatorStub
+ - ACTIVE
+ - ACTIVITY_COMPLETED
+ - ACTIVITY_REQUIRED
+ - ARG_IN
+ - ARG_INOUT
+ - ARG_OUT
+ - AWTError
+ - AWTEvent
+ - AWTEventListener
+ - AWTEventListenerProxy
+ - AWTEventMulticaster
+ - AWTException
+ - AWTKeyStroke
+ - AWTPermission
+ - AbstractAction
+ - AbstractBorder
+ - AbstractButton
+ - AbstractCellEditor
+ - AbstractCollection
+ - AbstractColorChooserPanel
+ - AbstractDocument
+ - AbstractDocument.AttributeContext
+ - AbstractDocument.Content
+ - AbstractDocument.ElementEdit
+ - AbstractExecutorService
+ - AbstractInterruptibleChannel
+ - AbstractLayoutCache
+ - AbstractLayoutCache.NodeDimensions
+ - AbstractList
+ - AbstractListModel
+ - AbstractMap
+ - AbstractMethodError
+ - AbstractPreferences
+ - AbstractQueue
+ - AbstractQueuedSynchronizer
+ - AbstractSelectableChannel
+ - AbstractSelectionKey
+ - AbstractSelector
+ - AbstractSequentialList
+ - AbstractSet
+ - AbstractSpinnerModel
+ - AbstractTableModel
+ - AbstractUndoableEdit
+ - AbstractWriter
+ - AccessControlContext
+ - AccessControlException
+ - AccessController
+ - AccessException
+ - Accessible
+ - AccessibleAction
+ - AccessibleAttributeSequence
+ - AccessibleBundle
+ - AccessibleComponent
+ - AccessibleContext
+ - AccessibleEditableText
+ - AccessibleExtendedComponent
+ - AccessibleExtendedTable
+ - AccessibleExtendedText
+ - AccessibleHyperlink
+ - AccessibleHypertext
+ - AccessibleIcon
+ - AccessibleKeyBinding
+ - AccessibleObject
+ - AccessibleRelation
+ - AccessibleRelationSet
+ - AccessibleResourceBundle
+ - AccessibleRole
+ - AccessibleSelection
+ - AccessibleState
+ - AccessibleStateSet
+ - AccessibleStreamable
+ - AccessibleTable
+ - AccessibleTableModelChange
+ - AccessibleText
+ - AccessibleTextSequence
+ - AccessibleValue
+ - AccountException
+ - AccountExpiredException
+ - AccountLockedException
+ - AccountNotFoundException
+ - Acl
+ - AclEntry
+ - AclNotFoundException
+ - Action
+ - ActionEvent
+ - ActionListener
+ - ActionMap
+ - ActionMapUIResource
+ - Activatable
+ - ActivateFailedException
+ - ActivationDesc
+ - ActivationException
+ - ActivationGroup
+ - ActivationGroupDesc
+ - ActivationGroupDesc.CommandEnvironment
+ - ActivationGroupID
+ - ActivationGroup_Stub
+ - ActivationID
+ - ActivationInstantiator
+ - ActivationMonitor
+ - ActivationSystem
+ - Activator
+ - ActiveEvent
+ - ActivityCompletedException
+ - ActivityRequiredException
+ - AdapterActivator
+ - AdapterActivatorOperations
+ - AdapterAlreadyExists
+ - AdapterAlreadyExistsHelper
+ - AdapterInactive
+ - AdapterInactiveHelper
+ - AdapterManagerIdHelper
+ - AdapterNameHelper
+ - AdapterNonExistent
+ - AdapterNonExistentHelper
+ - AdapterStateHelper
+ - AddressHelper
+ - Adjustable
+ - AdjustmentEvent
+ - AdjustmentListener
+ - Adler32
+ - AffineTransform
+ - AffineTransformOp
+ - AlgorithmParameterGenerator
+ - AlgorithmParameterGeneratorSpi
+ - AlgorithmParameterSpec
+ - AlgorithmParameters
+ - AlgorithmParametersSpi
+ - AllPermission
+ - AlphaComposite
+ - AlreadyBound
+ - AlreadyBoundException
+ - AlreadyBoundHelper
+ - AlreadyBoundHolder
+ - AlreadyConnectedException
+ - AncestorEvent
+ - AncestorListener
+ - AnnotatedElement
+ - Annotation
+ - Annotation
+ - AnnotationFormatError
+ - AnnotationTypeMismatchException
+ - Any
+ - AnyHolder
+ - AnySeqHelper
+ - AnySeqHelper
+ - AnySeqHolder
+ - AppConfigurationEntry
+ - AppConfigurationEntry.LoginModuleControlFlag
+ - Appendable
+ - Applet
+ - AppletContext
+ - AppletInitializer
+ - AppletStub
+ - ApplicationException
+ - Arc2D
+ - Arc2D.Double
+ - Arc2D.Float
+ - Area
+ - AreaAveragingScaleFilter
+ - ArithmeticException
+ - Array
+ - Array
+ - ArrayBlockingQueue
+ - ArrayIndexOutOfBoundsException
+ - ArrayList
+ - ArrayStoreException
+ - ArrayType
+ - Arrays
+ - AssertionError
+ - AsyncBoxView
+ - AsynchronousCloseException
+ - AtomicBoolean
+ - AtomicInteger
+ - AtomicIntegerArray
+ - AtomicIntegerFieldUpdater
+ - AtomicLong
+ - AtomicLongArray
+ - AtomicLongFieldUpdater
+ - AtomicMarkableReference
+ - AtomicReference
+ - AtomicReferenceArray
+ - AtomicReferenceFieldUpdater
+ - AtomicStampedReference
+ - Attr
+ - Attribute
+ - Attribute
+ - Attribute
+ - AttributeChangeNotification
+ - AttributeChangeNotificationFilter
+ - AttributeException
+ - AttributeInUseException
+ - AttributeList
+ - AttributeList
+ - AttributeList
+ - AttributeListImpl
+ - AttributeModificationException
+ - AttributeNotFoundException
+ - AttributeSet
+ - AttributeSet
+ - AttributeSet.CharacterAttribute
+ - AttributeSet.ColorAttribute
+ - AttributeSet.FontAttribute
+ - AttributeSet.ParagraphAttribute
+ - AttributeSetUtilities
+ - AttributeValueExp
+ - AttributedCharacterIterator
+ - AttributedCharacterIterator.Attribute
+ - AttributedString
+ - Attributes
+ - Attributes
+ - Attributes
+ - Attributes.Name
+ - Attributes2
+ - Attributes2Impl
+ - AttributesImpl
+ - AudioClip
+ - AudioFileFormat
+ - AudioFileFormat.Type
+ - AudioFileReader
+ - AudioFileWriter
+ - AudioFormat
+ - AudioFormat.Encoding
+ - AudioInputStream
+ - AudioPermission
+ - AudioSystem
+ - AuthPermission
+ - AuthProvider
+ - AuthenticationException
+ - AuthenticationException
+ - AuthenticationNotSupportedException
+ - Authenticator
+ - Authenticator.RequestorType
+ - AuthorizeCallback
+ - Autoscroll
+ - BAD_CONTEXT
+ - BAD_INV_ORDER
+ - BAD_OPERATION
+ - BAD_PARAM
+ - BAD_POLICY
+ - BAD_POLICY_TYPE
+ - BAD_POLICY_VALUE
+ - BAD_QOS
+ - BAD_TYPECODE
+ - BMPImageWriteParam
+ - BackingStoreException
+ - BadAttributeValueExpException
+ - BadBinaryOpValueExpException
+ - BadKind
+ - BadLocationException
+ - BadPaddingException
+ - BadStringOperationException
+ - BandCombineOp
+ - BandedSampleModel
+ - BaseRowSet
+ - BasicArrowButton
+ - BasicAttribute
+ - BasicAttributes
+ - BasicBorders
+ - BasicBorders.ButtonBorder
+ - BasicBorders.FieldBorder
+ - BasicBorders.MarginBorder
+ - BasicBorders.MenuBarBorder
+ - BasicBorders.RadioButtonBorder
+ - BasicBorders.RolloverButtonBorder
+ - BasicBorders.SplitPaneBorder
+ - BasicBorders.ToggleButtonBorder
+ - BasicButtonListener
+ - BasicButtonUI
+ - BasicCheckBoxMenuItemUI
+ - BasicCheckBoxUI
+ - BasicColorChooserUI
+ - BasicComboBoxEditor
+ - BasicComboBoxEditor.UIResource
+ - BasicComboBoxRenderer
+ - BasicComboBoxRenderer.UIResource
+ - BasicComboBoxUI
+ - BasicComboPopup
+ - BasicControl
+ - BasicDesktopIconUI
+ - BasicDesktopPaneUI
+ - BasicDirectoryModel
+ - BasicEditorPaneUI
+ - BasicFileChooserUI
+ - BasicFormattedTextFieldUI
+ - BasicGraphicsUtils
+ - BasicHTML
+ - BasicIconFactory
+ - BasicInternalFrameTitlePane
+ - BasicInternalFrameUI
+ - BasicLabelUI
+ - BasicListUI
+ - BasicLookAndFeel
+ - BasicMenuBarUI
+ - BasicMenuItemUI
+ - BasicMenuUI
+ - BasicOptionPaneUI
+ - BasicOptionPaneUI.ButtonAreaLayout
+ - BasicPanelUI
+ - BasicPasswordFieldUI
+ - BasicPermission
+ - BasicPopupMenuSeparatorUI
+ - BasicPopupMenuUI
+ - BasicProgressBarUI
+ - BasicRadioButtonMenuItemUI
+ - BasicRadioButtonUI
+ - BasicRootPaneUI
+ - BasicScrollBarUI
+ - BasicScrollPaneUI
+ - BasicSeparatorUI
+ - BasicSliderUI
+ - BasicSpinnerUI
+ - BasicSplitPaneDivider
+ - BasicSplitPaneUI
+ - BasicStroke
+ - BasicTabbedPaneUI
+ - BasicTableHeaderUI
+ - BasicTableUI
+ - BasicTextAreaUI
+ - BasicTextFieldUI
+ - BasicTextPaneUI
+ - BasicTextUI
+ - BasicTextUI.BasicCaret
+ - BasicTextUI.BasicHighlighter
+ - BasicToggleButtonUI
+ - BasicToolBarSeparatorUI
+ - BasicToolBarUI
+ - BasicToolTipUI
+ - BasicTreeUI
+ - BasicViewportUI
+ - BatchUpdateException
+ - BeanContext
+ - BeanContextChild
+ - BeanContextChildComponentProxy
+ - BeanContextChildSupport
+ - BeanContextContainerProxy
+ - BeanContextEvent
+ - BeanContextMembershipEvent
+ - BeanContextMembershipListener
+ - BeanContextProxy
+ - BeanContextServiceAvailableEvent
+ - BeanContextServiceProvider
+ - BeanContextServiceProviderBeanInfo
+ - BeanContextServiceRevokedEvent
+ - BeanContextServiceRevokedListener
+ - BeanContextServices
+ - BeanContextServicesListener
+ - BeanContextServicesSupport
+ - BeanContextServicesSupport.BCSSServiceProvider
+ - BeanContextSupport
+ - BeanContextSupport.BCSIterator
+ - BeanDescriptor
+ - BeanInfo
+ - Beans
+ - BevelBorder
+ - Bidi
+ - BigDecimal
+ - BigInteger
+ - BinaryRefAddr
+ - BindException
+ - Binding
+ - Binding
+ - BindingHelper
+ - BindingHolder
+ - BindingIterator
+ - BindingIteratorHelper
+ - BindingIteratorHolder
+ - BindingIteratorOperations
+ - BindingIteratorPOA
+ - BindingListHelper
+ - BindingListHolder
+ - BindingType
+ - BindingTypeHelper
+ - BindingTypeHolder
+ - BitSet
+ - Blob
+ - BlockView
+ - BlockingQueue
+ - Book
+ - Boolean
+ - BooleanControl
+ - BooleanControl.Type
+ - BooleanHolder
+ - BooleanSeqHelper
+ - BooleanSeqHolder
+ - Border
+ - BorderFactory
+ - BorderLayout
+ - BorderUIResource
+ - BorderUIResource.BevelBorderUIResource
+ - BorderUIResource.CompoundBorderUIResource
+ - BorderUIResource.EmptyBorderUIResource
+ - BorderUIResource.EtchedBorderUIResource
+ - BorderUIResource.LineBorderUIResource
+ - BorderUIResource.MatteBorderUIResource
+ - BorderUIResource.TitledBorderUIResource
+ - BoundedRangeModel
+ - Bounds
+ - Bounds
+ - Box
+ - Box.Filler
+ - BoxLayout
+ - BoxView
+ - BoxedValueHelper
+ - BreakIterator
+ - BrokenBarrierException
+ - Buffer
+ - BufferCapabilities
+ - BufferCapabilities.FlipContents
+ - BufferOverflowException
+ - BufferStrategy
+ - BufferUnderflowException
+ - BufferedImage
+ - BufferedImageFilter
+ - BufferedImageOp
+ - BufferedInputStream
+ - BufferedOutputStream
+ - BufferedReader
+ - BufferedWriter
+ - Button
+ - ButtonGroup
+ - ButtonModel
+ - ButtonUI
+ - Byte
+ - ByteArrayInputStream
+ - ByteArrayOutputStream
+ - ByteBuffer
+ - ByteChannel
+ - ByteHolder
+ - ByteLookupTable
+ - ByteOrder
+ - CDATASection
+ - CMMException
+ - CODESET_INCOMPATIBLE
+ - COMM_FAILURE
+ - CRC32
+ - CRL
+ - CRLException
+ - CRLSelector
+ - CSS
+ - CSS.Attribute
+ - CTX_RESTRICT_SCOPE
+ - CacheRequest
+ - CacheResponse
+ - CachedRowSet
+ - Calendar
+ - Callable
+ - CallableStatement
+ - Callback
+ - CallbackHandler
+ - CancelablePrintJob
+ - CancellationException
+ - CancelledKeyException
+ - CannotProceed
+ - CannotProceedException
+ - CannotProceedHelper
+ - CannotProceedHolder
+ - CannotRedoException
+ - CannotUndoException
+ - Canvas
+ - CardLayout
+ - Caret
+ - CaretEvent
+ - CaretListener
+ - CellEditor
+ - CellEditorListener
+ - CellRendererPane
+ - CertPath
+ - CertPath.CertPathRep
+ - CertPathBuilder
+ - CertPathBuilderException
+ - CertPathBuilderResult
+ - CertPathBuilderSpi
+ - CertPathParameters
+ - CertPathTrustManagerParameters
+ - CertPathValidator
+ - CertPathValidatorException
+ - CertPathValidatorResult
+ - CertPathValidatorSpi
+ - CertSelector
+ - CertStore
+ - CertStoreException
+ - CertStoreParameters
+ - CertStoreSpi
+ - Certificate
+ - Certificate
+ - Certificate
+ - Certificate.CertificateRep
+ - CertificateEncodingException
+ - CertificateEncodingException
+ - CertificateException
+ - CertificateException
+ - CertificateExpiredException
+ - CertificateExpiredException
+ - CertificateFactory
+ - CertificateFactorySpi
+ - CertificateNotYetValidException
+ - CertificateNotYetValidException
+ - CertificateParsingException
+ - CertificateParsingException
+ - ChangeEvent
+ - ChangeListener
+ - ChangedCharSetException
+ - Channel
+ - ChannelBinding
+ - Channels
+ - CharArrayReader
+ - CharArrayWriter
+ - CharBuffer
+ - CharConversionException
+ - CharHolder
+ - CharSeqHelper
+ - CharSeqHolder
+ - CharSequence
+ - Character
+ - Character.Subset
+ - Character.UnicodeBlock
+ - CharacterCodingException
+ - CharacterData
+ - CharacterIterator
+ - Charset
+ - CharsetDecoder
+ - CharsetEncoder
+ - CharsetProvider
+ - Checkbox
+ - CheckboxGroup
+ - CheckboxMenuItem
+ - CheckedInputStream
+ - CheckedOutputStream
+ - Checksum
+ - Choice
+ - ChoiceCallback
+ - ChoiceFormat
+ - Chromaticity
+ - Cipher
+ - CipherInputStream
+ - CipherOutputStream
+ - CipherSpi
+ - Class
+ - ClassCastException
+ - ClassCircularityError
+ - ClassDefinition
+ - ClassDesc
+ - ClassFileTransformer
+ - ClassFormatError
+ - ClassLoader
+ - ClassLoaderRepository
+ - ClassLoadingMXBean
+ - ClassNotFoundException
+ - ClientRequestInfo
+ - ClientRequestInfoOperations
+ - ClientRequestInterceptor
+ - ClientRequestInterceptorOperations
+ - Clip
+ - Clipboard
+ - ClipboardOwner
+ - Clob
+ - CloneNotSupportedException
+ - Cloneable
+ - Closeable
+ - ClosedByInterruptException
+ - ClosedChannelException
+ - ClosedSelectorException
+ - CodeSets
+ - CodeSigner
+ - CodeSource
+ - Codec
+ - CodecFactory
+ - CodecFactoryHelper
+ - CodecFactoryOperations
+ - CodecOperations
+ - CoderMalfunctionError
+ - CoderResult
+ - CodingErrorAction
+ - CollationElementIterator
+ - CollationKey
+ - Collator
+ - Collection
+ - CollectionCertStoreParameters
+ - Collections
+ - Color
+ - ColorChooserComponentFactory
+ - ColorChooserUI
+ - ColorConvertOp
+ - ColorModel
+ - ColorSelectionModel
+ - ColorSpace
+ - ColorSupported
+ - ColorType
+ - ColorUIResource
+ - ComboBoxEditor
+ - ComboBoxModel
+ - ComboBoxUI
+ - ComboPopup
+ - Comment
+ - CommunicationException
+ - Comparable
+ - Comparator
+ - CompilationMXBean
+ - Compiler
+ - CompletionService
+ - CompletionStatus
+ - CompletionStatusHelper
+ - Component
+ - ComponentAdapter
+ - ComponentColorModel
+ - ComponentEvent
+ - ComponentIdHelper
+ - ComponentInputMap
+ - ComponentInputMapUIResource
+ - ComponentListener
+ - ComponentOrientation
+ - ComponentSampleModel
+ - ComponentUI
+ - ComponentView
+ - Composite
+ - CompositeContext
+ - CompositeData
+ - CompositeDataSupport
+ - CompositeName
+ - CompositeType
+ - CompositeView
+ - CompoundBorder
+ - CompoundControl
+ - CompoundControl.Type
+ - CompoundEdit
+ - CompoundName
+ - Compression
+ - ConcurrentHashMap
+ - ConcurrentLinkedQueue
+ - ConcurrentMap
+ - ConcurrentModificationException
+ - Condition
+ - Configuration
+ - ConfigurationException
+ - ConfirmationCallback
+ - ConnectException
+ - ConnectException
+ - ConnectIOException
+ - Connection
+ - ConnectionEvent
+ - ConnectionEventListener
+ - ConnectionPendingException
+ - ConnectionPoolDataSource
+ - ConsoleHandler
+ - Constructor
+ - Container
+ - ContainerAdapter
+ - ContainerEvent
+ - ContainerListener
+ - ContainerOrderFocusTraversalPolicy
+ - ContentHandler
+ - ContentHandler
+ - ContentHandlerFactory
+ - ContentModel
+ - Context
+ - Context
+ - ContextList
+ - ContextNotEmptyException
+ - ContextualRenderedImageFactory
+ - Control
+ - Control
+ - Control.Type
+ - ControlFactory
+ - ControllerEventListener
+ - ConvolveOp
+ - CookieHandler
+ - CookieHolder
+ - Copies
+ - CopiesSupported
+ - CopyOnWriteArrayList
+ - CopyOnWriteArraySet
+ - CountDownLatch
+ - CounterMonitor
+ - CounterMonitorMBean
+ - CredentialException
+ - CredentialExpiredException
+ - CredentialNotFoundException
+ - CropImageFilter
+ - CubicCurve2D
+ - CubicCurve2D.Double
+ - CubicCurve2D.Float
+ - Currency
+ - Current
+ - Current
+ - Current
+ - CurrentHelper
+ - CurrentHelper
+ - CurrentHelper
+ - CurrentHolder
+ - CurrentOperations
+ - CurrentOperations
+ - CurrentOperations
+ - Cursor
+ - CustomMarshal
+ - CustomValue
+ - Customizer
+ - CyclicBarrier
+ - DATA_CONVERSION
+ - DESKeySpec
+ - DESedeKeySpec
+ - DGC
+ - DHGenParameterSpec
+ - DHKey
+ - DHParameterSpec
+ - DHPrivateKey
+ - DHPrivateKeySpec
+ - DHPublicKey
+ - DHPublicKeySpec
+ - DISCARDING
+ - DOMConfiguration
+ - DOMError
+ - DOMErrorHandler
+ - DOMException
+ - DOMImplementation
+ - DOMImplementationLS
+ - DOMImplementationList
+ - DOMImplementationRegistry
+ - DOMImplementationSource
+ - DOMLocator
+ - DOMLocator
+ - DOMResult
+ - DOMSource
+ - DOMStringList
+ - DSAKey
+ - DSAKeyPairGenerator
+ - DSAParameterSpec
+ - DSAParams
+ - DSAPrivateKey
+ - DSAPrivateKeySpec
+ - DSAPublicKey
+ - DSAPublicKeySpec
+ - DTD
+ - DTDConstants
+ - DTDHandler
+ - DataBuffer
+ - DataBufferByte
+ - DataBufferDouble
+ - DataBufferFloat
+ - DataBufferInt
+ - DataBufferShort
+ - DataBufferUShort
+ - DataFlavor
+ - DataFormatException
+ - DataInput
+ - DataInputStream
+ - DataInputStream
+ - DataLine
+ - DataLine.Info
+ - DataOutput
+ - DataOutputStream
+ - DataOutputStream
+ - DataSource
+ - DataTruncation
+ - DatabaseMetaData
+ - DatagramChannel
+ - DatagramPacket
+ - DatagramSocket
+ - DatagramSocketImpl
+ - DatagramSocketImplFactory
+ - DatatypeConfigurationException
+ - DatatypeConstants
+ - DatatypeConstants.Field
+ - DatatypeFactory
+ - Date
+ - Date
+ - DateFormat
+ - DateFormat.Field
+ - DateFormatSymbols
+ - DateFormatter
+ - DateTimeAtCompleted
+ - DateTimeAtCreation
+ - DateTimeAtProcessing
+ - DateTimeSyntax
+ - DebugGraphics
+ - DecimalFormat
+ - DecimalFormatSymbols
+ - DeclHandler
+ - DefaultBoundedRangeModel
+ - DefaultButtonModel
+ - DefaultCaret
+ - DefaultCellEditor
+ - DefaultColorSelectionModel
+ - DefaultComboBoxModel
+ - DefaultDesktopManager
+ - DefaultEditorKit
+ - DefaultEditorKit.BeepAction
+ - DefaultEditorKit.CopyAction
+ - DefaultEditorKit.CutAction
+ - DefaultEditorKit.DefaultKeyTypedAction
+ - DefaultEditorKit.InsertBreakAction
+ - DefaultEditorKit.InsertContentAction
+ - DefaultEditorKit.InsertTabAction
+ - DefaultEditorKit.PasteAction
+ - DefaultFocusManager
+ - DefaultFocusTraversalPolicy
+ - DefaultFormatter
+ - DefaultFormatterFactory
+ - DefaultHandler
+ - DefaultHandler2
+ - DefaultHighlighter
+ - DefaultHighlighter.DefaultHighlightPainter
+ - DefaultKeyboardFocusManager
+ - DefaultListCellRenderer
+ - DefaultListCellRenderer.UIResource
+ - DefaultListModel
+ - DefaultListSelectionModel
+ - DefaultLoaderRepository
+ - DefaultLoaderRepository
+ - DefaultMenuLayout
+ - DefaultMetalTheme
+ - DefaultMutableTreeNode
+ - DefaultPersistenceDelegate
+ - DefaultSingleSelectionModel
+ - DefaultStyledDocument
+ - DefaultStyledDocument.AttributeUndoableEdit
+ - DefaultStyledDocument.ElementSpec
+ - DefaultTableCellRenderer
+ - DefaultTableCellRenderer.UIResource
+ - DefaultTableColumnModel
+ - DefaultTableModel
+ - DefaultTextUI
+ - DefaultTreeCellEditor
+ - DefaultTreeCellRenderer
+ - DefaultTreeModel
+ - DefaultTreeSelectionModel
+ - DefinitionKind
+ - DefinitionKindHelper
+ - Deflater
+ - DeflaterOutputStream
+ - DelayQueue
+ - Delayed
+ - Delegate
+ - Delegate
+ - Delegate
+ - DelegationPermission
+ - Deprecated
+ - Descriptor
+ - DescriptorAccess
+ - DescriptorSupport
+ - DesignMode
+ - DesktopIconUI
+ - DesktopManager
+ - DesktopPaneUI
+ - Destination
+ - DestroyFailedException
+ - Destroyable
+ - Dialog
+ - Dictionary
+ - DigestException
+ - DigestInputStream
+ - DigestOutputStream
+ - Dimension
+ - Dimension2D
+ - DimensionUIResource
+ - DirContext
+ - DirObjectFactory
+ - DirStateFactory
+ - DirStateFactory.Result
+ - DirectColorModel
+ - DirectoryManager
+ - DisplayMode
+ - DnDConstants
+ - Doc
+ - DocAttribute
+ - DocAttributeSet
+ - DocFlavor
+ - DocFlavor.BYTE_ARRAY
+ - DocFlavor.CHAR_ARRAY
+ - DocFlavor.INPUT_STREAM
+ - DocFlavor.READER
+ - DocFlavor.SERVICE_FORMATTED
+ - DocFlavor.STRING
+ - DocFlavor.URL
+ - DocPrintJob
+ - Document
+ - Document
+ - DocumentBuilder
+ - DocumentBuilderFactory
+ - DocumentEvent
+ - DocumentEvent.ElementChange
+ - DocumentEvent.EventType
+ - DocumentFilter
+ - DocumentFilter.FilterBypass
+ - DocumentFragment
+ - DocumentHandler
+ - DocumentListener
+ - DocumentName
+ - DocumentParser
+ - DocumentType
+ - Documented
+ - DomainCombiner
+ - DomainManager
+ - DomainManagerOperations
+ - Double
+ - DoubleBuffer
+ - DoubleHolder
+ - DoubleSeqHelper
+ - DoubleSeqHolder
+ - DragGestureEvent
+ - DragGestureListener
+ - DragGestureRecognizer
+ - DragSource
+ - DragSourceAdapter
+ - DragSourceContext
+ - DragSourceDragEvent
+ - DragSourceDropEvent
+ - DragSourceEvent
+ - DragSourceListener
+ - DragSourceMotionListener
+ - Driver
+ - DriverManager
+ - DriverPropertyInfo
+ - DropTarget
+ - DropTarget.DropTargetAutoScroller
+ - DropTargetAdapter
+ - DropTargetContext
+ - DropTargetDragEvent
+ - DropTargetDropEvent
+ - DropTargetEvent
+ - DropTargetListener
+ - DuplicateFormatFlagsException
+ - DuplicateName
+ - DuplicateNameHelper
+ - Duration
+ - DynAny
+ - DynAny
+ - DynAnyFactory
+ - DynAnyFactoryHelper
+ - DynAnyFactoryOperations
+ - DynAnyHelper
+ - DynAnyOperations
+ - DynAnySeqHelper
+ - DynArray
+ - DynArray
+ - DynArrayHelper
+ - DynArrayOperations
+ - DynEnum
+ - DynEnum
+ - DynEnumHelper
+ - DynEnumOperations
+ - DynFixed
+ - DynFixed
+ - DynFixedHelper
+ - DynFixedOperations
+ - DynSequence
+ - DynSequence
+ - DynSequenceHelper
+ - DynSequenceOperations
+ - DynStruct
+ - DynStruct
+ - DynStructHelper
+ - DynStructOperations
+ - DynUnion
+ - DynUnion
+ - DynUnionHelper
+ - DynUnionOperations
+ - DynValue
+ - DynValue
+ - DynValueBox
+ - DynValueBoxOperations
+ - DynValueCommon
+ - DynValueCommonOperations
+ - DynValueHelper
+ - DynValueOperations
+ - DynamicImplementation
+ - DynamicImplementation
+ - DynamicMBean
+ - ECField
+ - ECFieldF2m
+ - ECFieldFp
+ - ECGenParameterSpec
+ - ECKey
+ - ECParameterSpec
+ - ECPoint
+ - ECPrivateKey
+ - ECPrivateKeySpec
+ - ECPublicKey
+ - ECPublicKeySpec
+ - ENCODING_CDR_ENCAPS
+ - EOFException
+ - EditorKit
+ - Element
+ - Element
+ - Element
+ - ElementIterator
+ - ElementType
+ - Ellipse2D
+ - Ellipse2D.Double
+ - Ellipse2D.Float
+ - EllipticCurve
+ - EmptyBorder
+ - EmptyStackException
+ - EncodedKeySpec
+ - Encoder
+ - Encoding
+ - EncryptedPrivateKeyInfo
+ - Entity
+ - Entity
+ - EntityReference
+ - EntityResolver
+ - EntityResolver2
+ - Enum
+ - EnumConstantNotPresentException
+ - EnumControl
+ - EnumControl.Type
+ - EnumMap
+ - EnumSet
+ - EnumSyntax
+ - Enumeration
+ - Environment
+ - Error
+ - ErrorHandler
+ - ErrorListener
+ - ErrorManager
+ - EtchedBorder
+ - Event
+ - EventContext
+ - EventDirContext
+ - EventHandler
+ - EventListener
+ - EventListenerList
+ - EventListenerProxy
+ - EventObject
+ - EventQueue
+ - EventSetDescriptor
+ - Exception
+ - ExceptionDetailMessage
+ - ExceptionInInitializerError
+ - ExceptionList
+ - ExceptionListener
+ - Exchanger
+ - ExecutionException
+ - Executor
+ - ExecutorCompletionService
+ - ExecutorService
+ - Executors
+ - ExemptionMechanism
+ - ExemptionMechanismException
+ - ExemptionMechanismSpi
+ - ExpandVetoException
+ - ExportException
+ - Expression
+ - ExtendedRequest
+ - ExtendedResponse
+ - Externalizable
+ - FREE_MEM
+ - FactoryConfigurationError
+ - FailedLoginException
+ - FeatureDescriptor
+ - Fidelity
+ - Field
+ - FieldNameHelper
+ - FieldNameHelper
+ - FieldPosition
+ - FieldView
+ - File
+ - FileCacheImageInputStream
+ - FileCacheImageOutputStream
+ - FileChannel
+ - FileChannel.MapMode
+ - FileChooserUI
+ - FileDescriptor
+ - FileDialog
+ - FileFilter
+ - FileFilter
+ - FileHandler
+ - FileImageInputStream
+ - FileImageOutputStream
+ - FileInputStream
+ - FileLock
+ - FileLockInterruptionException
+ - FileNameMap
+ - FileNotFoundException
+ - FileOutputStream
+ - FilePermission
+ - FileReader
+ - FileSystemView
+ - FileView
+ - FileWriter
+ - FilenameFilter
+ - Filter
+ - FilterInputStream
+ - FilterOutputStream
+ - FilterReader
+ - FilterWriter
+ - FilteredImageSource
+ - FilteredRowSet
+ - Finishings
+ - FixedHeightLayoutCache
+ - FixedHolder
+ - FlatteningPathIterator
+ - FlavorEvent
+ - FlavorException
+ - FlavorListener
+ - FlavorMap
+ - FlavorTable
+ - Float
+ - FloatBuffer
+ - FloatControl
+ - FloatControl.Type
+ - FloatHolder
+ - FloatSeqHelper
+ - FloatSeqHolder
+ - FlowLayout
+ - FlowView
+ - FlowView.FlowStrategy
+ - Flushable
+ - FocusAdapter
+ - FocusEvent
+ - FocusListener
+ - FocusManager
+ - FocusTraversalPolicy
+ - Font
+ - FontFormatException
+ - FontMetrics
+ - FontRenderContext
+ - FontUIResource
+ - FormSubmitEvent
+ - FormSubmitEvent.MethodType
+ - FormView
+ - Format
+ - Format.Field
+ - FormatConversionProvider
+ - FormatFlagsConversionMismatchException
+ - FormatMismatch
+ - FormatMismatchHelper
+ - Formattable
+ - FormattableFlags
+ - Formatter
+ - Formatter
+ - FormatterClosedException
+ - ForwardRequest
+ - ForwardRequest
+ - ForwardRequestHelper
+ - ForwardRequestHelper
+ - Frame
+ - Future
+ - FutureTask
+ - GSSContext
+ - GSSCredential
+ - GSSException
+ - GSSManager
+ - GSSName
+ - GZIPInputStream
+ - GZIPOutputStream
+ - GapContent
+ - GarbageCollectorMXBean
+ - GatheringByteChannel
+ - GaugeMonitor
+ - GaugeMonitorMBean
+ - GeneralPath
+ - GeneralSecurityException
+ - GenericArrayType
+ - GenericDeclaration
+ - GenericSignatureFormatError
+ - GlyphJustificationInfo
+ - GlyphMetrics
+ - GlyphVector
+ - GlyphView
+ - GlyphView.GlyphPainter
+ - GradientPaint
+ - GraphicAttribute
+ - Graphics
+ - Graphics2D
+ - GraphicsConfigTemplate
+ - GraphicsConfiguration
+ - GraphicsDevice
+ - GraphicsEnvironment
+ - GrayFilter
+ - GregorianCalendar
+ - GridBagConstraints
+ - GridBagLayout
+ - GridLayout
+ - Group
+ - Guard
+ - GuardedObject
+ - HOLDING
+ - HTML
+ - HTML.Attribute
+ - HTML.Tag
+ - HTML.UnknownTag
+ - HTMLDocument
+ - HTMLDocument.Iterator
+ - HTMLEditorKit
+ - HTMLEditorKit.HTMLFactory
+ - HTMLEditorKit.HTMLTextAction
+ - HTMLEditorKit.InsertHTMLTextAction
+ - HTMLEditorKit.LinkController
+ - HTMLEditorKit.Parser
+ - HTMLEditorKit.ParserCallback
+ - HTMLFrameHyperlinkEvent
+ - HTMLWriter
+ - Handler
+ - HandlerBase
+ - HandshakeCompletedEvent
+ - HandshakeCompletedListener
+ - HasControls
+ - HashAttributeSet
+ - HashDocAttributeSet
+ - HashMap
+ - HashPrintJobAttributeSet
+ - HashPrintRequestAttributeSet
+ - HashPrintServiceAttributeSet
+ - HashSet
+ - Hashtable
+ - HeadlessException
+ - HierarchyBoundsAdapter
+ - HierarchyBoundsListener
+ - HierarchyEvent
+ - HierarchyListener
+ - Highlighter
+ - Highlighter.Highlight
+ - Highlighter.HighlightPainter
+ - HostnameVerifier
+ - HttpRetryException
+ - HttpURLConnection
+ - HttpsURLConnection
+ - HyperlinkEvent
+ - HyperlinkEvent.EventType
+ - HyperlinkListener
+ - ICC_ColorSpace
+ - ICC_Profile
+ - ICC_ProfileGray
+ - ICC_ProfileRGB
+ - IDLEntity
+ - IDLType
+ - IDLTypeHelper
+ - IDLTypeOperations
+ - ID_ASSIGNMENT_POLICY_ID
+ - ID_UNIQUENESS_POLICY_ID
+ - IIOByteBuffer
+ - IIOException
+ - IIOImage
+ - IIOInvalidTreeException
+ - IIOMetadata
+ - IIOMetadataController
+ - IIOMetadataFormat
+ - IIOMetadataFormatImpl
+ - IIOMetadataNode
+ - IIOParam
+ - IIOParamController
+ - IIOReadProgressListener
+ - IIOReadUpdateListener
+ - IIOReadWarningListener
+ - IIORegistry
+ - IIOServiceProvider
+ - IIOWriteProgressListener
+ - IIOWriteWarningListener
+ - IMPLICIT_ACTIVATION_POLICY_ID
+ - IMP_LIMIT
+ - INACTIVE
+ - INITIALIZE
+ - INTERNAL
+ - INTF_REPOS
+ - INVALID_ACTIVITY
+ - INVALID_TRANSACTION
+ - INV_FLAG
+ - INV_IDENT
+ - INV_OBJREF
+ - INV_POLICY
+ - IOException
+ - IOR
+ - IORHelper
+ - IORHolder
+ - IORInfo
+ - IORInfoOperations
+ - IORInterceptor
+ - IORInterceptorOperations
+ - IORInterceptor_3_0
+ - IORInterceptor_3_0Helper
+ - IORInterceptor_3_0Holder
+ - IORInterceptor_3_0Operations
+ - IRObject
+ - IRObjectOperations
+ - Icon
+ - IconUIResource
+ - IconView
+ - IdAssignmentPolicy
+ - IdAssignmentPolicyOperations
+ - IdAssignmentPolicyValue
+ - IdUniquenessPolicy
+ - IdUniquenessPolicyOperations
+ - IdUniquenessPolicyValue
+ - IdentifierHelper
+ - Identity
+ - IdentityHashMap
+ - IdentityScope
+ - IllegalAccessError
+ - IllegalAccessException
+ - IllegalArgumentException
+ - IllegalBlockSizeException
+ - IllegalBlockingModeException
+ - IllegalCharsetNameException
+ - IllegalClassFormatException
+ - IllegalComponentStateException
+ - IllegalFormatCodePointException
+ - IllegalFormatConversionException
+ - IllegalFormatException
+ - IllegalFormatFlagsException
+ - IllegalFormatPrecisionException
+ - IllegalFormatWidthException
+ - IllegalMonitorStateException
+ - IllegalPathStateException
+ - IllegalSelectorException
+ - IllegalStateException
+ - IllegalThreadStateException
+ - Image
+ - ImageCapabilities
+ - ImageConsumer
+ - ImageFilter
+ - ImageGraphicAttribute
+ - ImageIO
+ - ImageIcon
+ - ImageInputStream
+ - ImageInputStreamImpl
+ - ImageInputStreamSpi
+ - ImageObserver
+ - ImageOutputStream
+ - ImageOutputStreamImpl
+ - ImageOutputStreamSpi
+ - ImageProducer
+ - ImageReadParam
+ - ImageReader
+ - ImageReaderSpi
+ - ImageReaderWriterSpi
+ - ImageTranscoder
+ - ImageTranscoderSpi
+ - ImageTypeSpecifier
+ - ImageView
+ - ImageWriteParam
+ - ImageWriter
+ - ImageWriterSpi
+ - ImagingOpException
+ - ImplicitActivationPolicy
+ - ImplicitActivationPolicyOperations
+ - ImplicitActivationPolicyValue
+ - IncompatibleClassChangeError
+ - IncompleteAnnotationException
+ - InconsistentTypeCode
+ - InconsistentTypeCode
+ - InconsistentTypeCodeHelper
+ - IndexColorModel
+ - IndexOutOfBoundsException
+ - IndexedPropertyChangeEvent
+ - IndexedPropertyDescriptor
+ - IndirectionException
+ - Inet4Address
+ - Inet6Address
+ - InetAddress
+ - InetSocketAddress
+ - Inflater
+ - InflaterInputStream
+ - InheritableThreadLocal
+ - Inherited
+ - InitialContext
+ - InitialContextFactory
+ - InitialContextFactoryBuilder
+ - InitialDirContext
+ - InitialLdapContext
+ - InlineView
+ - InputContext
+ - InputEvent
+ - InputMap
+ - InputMapUIResource
+ - InputMethod
+ - InputMethodContext
+ - InputMethodDescriptor
+ - InputMethodEvent
+ - InputMethodHighlight
+ - InputMethodListener
+ - InputMethodRequests
+ - InputMismatchException
+ - InputSource
+ - InputStream
+ - InputStream
+ - InputStream
+ - InputStreamReader
+ - InputSubset
+ - InputVerifier
+ - Insets
+ - InsetsUIResource
+ - InstanceAlreadyExistsException
+ - InstanceNotFoundException
+ - InstantiationError
+ - InstantiationException
+ - Instrument
+ - Instrumentation
+ - InsufficientResourcesException
+ - IntBuffer
+ - IntHolder
+ - Integer
+ - IntegerSyntax
+ - Interceptor
+ - InterceptorOperations
+ - InternalError
+ - InternalFrameAdapter
+ - InternalFrameEvent
+ - InternalFrameFocusTraversalPolicy
+ - InternalFrameListener
+ - InternalFrameUI
+ - InternationalFormatter
+ - InterruptedException
+ - InterruptedIOException
+ - InterruptedNamingException
+ - InterruptibleChannel
+ - IntrospectionException
+ - IntrospectionException
+ - Introspector
+ - Invalid
+ - InvalidActivityException
+ - InvalidAddress
+ - InvalidAddressHelper
+ - InvalidAddressHolder
+ - InvalidAlgorithmParameterException
+ - InvalidApplicationException
+ - InvalidAttributeIdentifierException
+ - InvalidAttributeValueException
+ - InvalidAttributeValueException
+ - InvalidAttributesException
+ - InvalidClassException
+ - InvalidDnDOperationException
+ - InvalidKeyException
+ - InvalidKeyException
+ - InvalidKeySpecException
+ - InvalidMarkException
+ - InvalidMidiDataException
+ - InvalidName
+ - InvalidName
+ - InvalidName
+ - InvalidNameException
+ - InvalidNameHelper
+ - InvalidNameHelper
+ - InvalidNameHolder
+ - InvalidObjectException
+ - InvalidOpenTypeException
+ - InvalidParameterException
+ - InvalidParameterSpecException
+ - InvalidPolicy
+ - InvalidPolicyHelper
+ - InvalidPreferencesFormatException
+ - InvalidPropertiesFormatException
+ - InvalidRelationIdException
+ - InvalidRelationServiceException
+ - InvalidRelationTypeException
+ - InvalidRoleInfoException
+ - InvalidRoleValueException
+ - InvalidSearchControlsException
+ - InvalidSearchFilterException
+ - InvalidSeq
+ - InvalidSlot
+ - InvalidSlotHelper
+ - InvalidTargetObjectTypeException
+ - InvalidTransactionException
+ - InvalidTypeForEncoding
+ - InvalidTypeForEncodingHelper
+ - InvalidValue
+ - InvalidValue
+ - InvalidValueHelper
+ - InvocationEvent
+ - InvocationHandler
+ - InvocationTargetException
+ - InvokeHandler
+ - IstringHelper
+ - ItemEvent
+ - ItemListener
+ - ItemSelectable
+ - Iterable
+ - Iterator
+ - IvParameterSpec
+ - JApplet
+ - JButton
+ - JCheckBox
+ - JCheckBoxMenuItem
+ - JColorChooser
+ - JComboBox
+ - JComboBox.KeySelectionManager
+ - JComponent
+ - JDesktopPane
+ - JDialog
+ - JEditorPane
+ - JFileChooser
+ - JFormattedTextField
+ - JFormattedTextField.AbstractFormatter
+ - JFormattedTextField.AbstractFormatterFactory
+ - JFrame
+ - JInternalFrame
+ - JInternalFrame.JDesktopIcon
+ - JLabel
+ - JLayeredPane
+ - JList
+ - JMException
+ - JMRuntimeException
+ - JMXAuthenticator
+ - JMXConnectionNotification
+ - JMXConnector
+ - JMXConnectorFactory
+ - JMXConnectorProvider
+ - JMXConnectorServer
+ - JMXConnectorServerFactory
+ - JMXConnectorServerMBean
+ - JMXConnectorServerProvider
+ - JMXPrincipal
+ - JMXProviderException
+ - JMXServerErrorException
+ - JMXServiceURL
+ - JMenu
+ - JMenuBar
+ - JMenuItem
+ - JOptionPane
+ - JPEGHuffmanTable
+ - JPEGImageReadParam
+ - JPEGImageWriteParam
+ - JPEGQTable
+ - JPanel
+ - JPasswordField
+ - JPopupMenu
+ - JPopupMenu.Separator
+ - JProgressBar
+ - JRadioButton
+ - JRadioButtonMenuItem
+ - JRootPane
+ - JScrollBar
+ - JScrollPane
+ - JSeparator
+ - JSlider
+ - JSpinner
+ - JSpinner.DateEditor
+ - JSpinner.DefaultEditor
+ - JSpinner.ListEditor
+ - JSpinner.NumberEditor
+ - JSplitPane
+ - JTabbedPane
+ - JTable
+ - JTable.PrintMode
+ - JTableHeader
+ - JTextArea
+ - JTextComponent
+ - JTextComponent.KeyBinding
+ - JTextField
+ - JTextPane
+ - JToggleButton
+ - JToggleButton.ToggleButtonModel
+ - JToolBar
+ - JToolBar.Separator
+ - JToolTip
+ - JTree
+ - JTree.DynamicUtilTreeNode
+ - JTree.EmptySelectionModel
+ - JViewport
+ - JWindow
+ - JarEntry
+ - JarException
+ - JarFile
+ - JarInputStream
+ - JarOutputStream
+ - JarURLConnection
+ - JdbcRowSet
+ - JobAttributes
+ - JobAttributes.DefaultSelectionType
+ - JobAttributes.DestinationType
+ - JobAttributes.DialogType
+ - JobAttributes.MultipleDocumentHandlingType
+ - JobAttributes.SidesType
+ - JobHoldUntil
+ - JobImpressions
+ - JobImpressionsCompleted
+ - JobImpressionsSupported
+ - JobKOctets
+ - JobKOctetsProcessed
+ - JobKOctetsSupported
+ - JobMediaSheets
+ - JobMediaSheetsCompleted
+ - JobMediaSheetsSupported
+ - JobMessageFromOperator
+ - JobName
+ - JobOriginatingUserName
+ - JobPriority
+ - JobPrioritySupported
+ - JobSheets
+ - JobState
+ - JobStateReason
+ - JobStateReasons
+ - JoinRowSet
+ - Joinable
+ - KerberosKey
+ - KerberosPrincipal
+ - KerberosTicket
+ - Kernel
+ - Key
+ - KeyAdapter
+ - KeyAgreement
+ - KeyAgreementSpi
+ - KeyAlreadyExistsException
+ - KeyEvent
+ - KeyEventDispatcher
+ - KeyEventPostProcessor
+ - KeyException
+ - KeyFactory
+ - KeyFactorySpi
+ - KeyGenerator
+ - KeyGeneratorSpi
+ - KeyListener
+ - KeyManagementException
+ - KeyManager
+ - KeyManagerFactory
+ - KeyManagerFactorySpi
+ - KeyPair
+ - KeyPairGenerator
+ - KeyPairGeneratorSpi
+ - KeyRep
+ - KeyRep.Type
+ - KeySpec
+ - KeyStore
+ - KeyStore.Builder
+ - KeyStore.CallbackHandlerProtection
+ - KeyStore.Entry
+ - KeyStore.LoadStoreParameter
+ - KeyStore.PasswordProtection
+ - KeyStore.PrivateKeyEntry
+ - KeyStore.ProtectionParameter
+ - KeyStore.SecretKeyEntry
+ - KeyStore.TrustedCertificateEntry
+ - KeyStoreBuilderParameters
+ - KeyStoreException
+ - KeyStoreSpi
+ - KeyStroke
+ - KeyboardFocusManager
+ - Keymap
+ - LDAPCertStoreParameters
+ - LIFESPAN_POLICY_ID
+ - LOCATION_FORWARD
+ - LSException
+ - LSInput
+ - LSLoadEvent
+ - LSOutput
+ - LSParser
+ - LSParserFilter
+ - LSProgressEvent
+ - LSResourceResolver
+ - LSSerializer
+ - LSSerializerFilter
+ - Label
+ - LabelUI
+ - LabelView
+ - LanguageCallback
+ - LastOwnerException
+ - LayeredHighlighter
+ - LayeredHighlighter.LayerPainter
+ - LayoutFocusTraversalPolicy
+ - LayoutManager
+ - LayoutManager2
+ - LayoutQueue
+ - LdapContext
+ - LdapName
+ - LdapReferralException
+ - Lease
+ - Level
+ - LexicalHandler
+ - LifespanPolicy
+ - LifespanPolicyOperations
+ - LifespanPolicyValue
+ - LimitExceededException
+ - Line
+ - Line.Info
+ - Line2D
+ - Line2D.Double
+ - Line2D.Float
+ - LineBorder
+ - LineBreakMeasurer
+ - LineEvent
+ - LineEvent.Type
+ - LineListener
+ - LineMetrics
+ - LineNumberInputStream
+ - LineNumberReader
+ - LineUnavailableException
+ - LinkException
+ - LinkLoopException
+ - LinkRef
+ - LinkageError
+ - LinkedBlockingQueue
+ - LinkedHashMap
+ - LinkedHashSet
+ - LinkedList
+ - List
+ - List
+ - ListCellRenderer
+ - ListDataEvent
+ - ListDataListener
+ - ListIterator
+ - ListModel
+ - ListResourceBundle
+ - ListSelectionEvent
+ - ListSelectionListener
+ - ListSelectionModel
+ - ListUI
+ - ListView
+ - ListenerNotFoundException
+ - LoaderHandler
+ - LocalObject
+ - Locale
+ - LocateRegistry
+ - Locator
+ - Locator2
+ - Locator2Impl
+ - LocatorImpl
+ - Lock
+ - LockSupport
+ - LogManager
+ - LogRecord
+ - LogStream
+ - Logger
+ - LoggingMXBean
+ - LoggingPermission
+ - LoginContext
+ - LoginException
+ - LoginModule
+ - Long
+ - LongBuffer
+ - LongHolder
+ - LongLongSeqHelper
+ - LongLongSeqHolder
+ - LongSeqHelper
+ - LongSeqHolder
+ - LookAndFeel
+ - LookupOp
+ - LookupTable
+ - MARSHAL
+ - MBeanAttributeInfo
+ - MBeanConstructorInfo
+ - MBeanException
+ - MBeanFeatureInfo
+ - MBeanInfo
+ - MBeanNotificationInfo
+ - MBeanOperationInfo
+ - MBeanParameterInfo
+ - MBeanPermission
+ - MBeanRegistration
+ - MBeanRegistrationException
+ - MBeanServer
+ - MBeanServerBuilder
+ - MBeanServerConnection
+ - MBeanServerDelegate
+ - MBeanServerDelegateMBean
+ - MBeanServerFactory
+ - MBeanServerForwarder
+ - MBeanServerInvocationHandler
+ - MBeanServerNotification
+ - MBeanServerNotificationFilter
+ - MBeanServerPermission
+ - MBeanTrustPermission
+ - MGF1ParameterSpec
+ - MLet
+ - MLetMBean
+ - Mac
+ - MacSpi
+ - MalformedInputException
+ - MalformedLinkException
+ - MalformedObjectNameException
+ - MalformedParameterizedTypeException
+ - MalformedURLException
+ - ManageReferralControl
+ - ManagementFactory
+ - ManagementPermission
+ - ManagerFactoryParameters
+ - Manifest
+ - Map
+ - Map.Entry
+ - MappedByteBuffer
+ - MarshalException
+ - MarshalledObject
+ - MaskFormatter
+ - MatchResult
+ - Matcher
+ - Math
+ - MathContext
+ - MatteBorder
+ - Media
+ - MediaName
+ - MediaPrintableArea
+ - MediaSize
+ - MediaSize.Engineering
+ - MediaSize.ISO
+ - MediaSize.JIS
+ - MediaSize.NA
+ - MediaSize.Other
+ - MediaSizeName
+ - MediaTracker
+ - MediaTray
+ - Member
+ - MemoryCacheImageInputStream
+ - MemoryCacheImageOutputStream
+ - MemoryHandler
+ - MemoryImageSource
+ - MemoryMXBean
+ - MemoryManagerMXBean
+ - MemoryNotificationInfo
+ - MemoryPoolMXBean
+ - MemoryType
+ - MemoryUsage
+ - Menu
+ - MenuBar
+ - MenuBarUI
+ - MenuComponent
+ - MenuContainer
+ - MenuDragMouseEvent
+ - MenuDragMouseListener
+ - MenuElement
+ - MenuEvent
+ - MenuItem
+ - MenuItemUI
+ - MenuKeyEvent
+ - MenuKeyListener
+ - MenuListener
+ - MenuSelectionManager
+ - MenuShortcut
+ - MessageDigest
+ - MessageDigestSpi
+ - MessageFormat
+ - MessageFormat.Field
+ - MessageProp
+ - MetaEventListener
+ - MetaMessage
+ - MetalBorders
+ - MetalBorders.ButtonBorder
+ - MetalBorders.Flush3DBorder
+ - MetalBorders.InternalFrameBorder
+ - MetalBorders.MenuBarBorder
+ - MetalBorders.MenuItemBorder
+ - MetalBorders.OptionDialogBorder
+ - MetalBorders.PaletteBorder
+ - MetalBorders.PopupMenuBorder
+ - MetalBorders.RolloverButtonBorder
+ - MetalBorders.ScrollPaneBorder
+ - MetalBorders.TableHeaderBorder
+ - MetalBorders.TextFieldBorder
+ - MetalBorders.ToggleButtonBorder
+ - MetalBorders.ToolBarBorder
+ - MetalButtonUI
+ - MetalCheckBoxIcon
+ - MetalCheckBoxUI
+ - MetalComboBoxButton
+ - MetalComboBoxEditor
+ - MetalComboBoxEditor.UIResource
+ - MetalComboBoxIcon
+ - MetalComboBoxUI
+ - MetalDesktopIconUI
+ - MetalFileChooserUI
+ - MetalIconFactory
+ - MetalIconFactory.FileIcon16
+ - MetalIconFactory.FolderIcon16
+ - MetalIconFactory.PaletteCloseIcon
+ - MetalIconFactory.TreeControlIcon
+ - MetalIconFactory.TreeFolderIcon
+ - MetalIconFactory.TreeLeafIcon
+ - MetalInternalFrameTitlePane
+ - MetalInternalFrameUI
+ - MetalLabelUI
+ - MetalLookAndFeel
+ - MetalMenuBarUI
+ - MetalPopupMenuSeparatorUI
+ - MetalProgressBarUI
+ - MetalRadioButtonUI
+ - MetalRootPaneUI
+ - MetalScrollBarUI
+ - MetalScrollButton
+ - MetalScrollPaneUI
+ - MetalSeparatorUI
+ - MetalSliderUI
+ - MetalSplitPaneUI
+ - MetalTabbedPaneUI
+ - MetalTextFieldUI
+ - MetalTheme
+ - MetalToggleButtonUI
+ - MetalToolBarUI
+ - MetalToolTipUI
+ - MetalTreeUI
+ - Method
+ - MethodDescriptor
+ - MidiChannel
+ - MidiDevice
+ - MidiDevice.Info
+ - MidiDeviceProvider
+ - MidiEvent
+ - MidiFileFormat
+ - MidiFileReader
+ - MidiFileWriter
+ - MidiMessage
+ - MidiSystem
+ - MidiUnavailableException
+ - MimeTypeParseException
+ - MinimalHTMLWriter
+ - MissingFormatArgumentException
+ - MissingFormatWidthException
+ - MissingResourceException
+ - Mixer
+ - Mixer.Info
+ - MixerProvider
+ - ModelMBean
+ - ModelMBeanAttributeInfo
+ - ModelMBeanConstructorInfo
+ - ModelMBeanInfo
+ - ModelMBeanInfoSupport
+ - ModelMBeanNotificationBroadcaster
+ - ModelMBeanNotificationInfo
+ - ModelMBeanOperationInfo
+ - ModificationItem
+ - Modifier
+ - Monitor
+ - MonitorMBean
+ - MonitorNotification
+ - MonitorSettingException
+ - MouseAdapter
+ - MouseDragGestureRecognizer
+ - MouseEvent
+ - MouseInfo
+ - MouseInputAdapter
+ - MouseInputListener
+ - MouseListener
+ - MouseMotionAdapter
+ - MouseMotionListener
+ - MouseWheelEvent
+ - MouseWheelListener
+ - MultiButtonUI
+ - MultiColorChooserUI
+ - MultiComboBoxUI
+ - MultiDesktopIconUI
+ - MultiDesktopPaneUI
+ - MultiDoc
+ - MultiDocPrintJob
+ - MultiDocPrintService
+ - MultiFileChooserUI
+ - MultiInternalFrameUI
+ - MultiLabelUI
+ - MultiListUI
+ - MultiLookAndFeel
+ - MultiMenuBarUI
+ - MultiMenuItemUI
+ - MultiOptionPaneUI
+ - MultiPanelUI
+ - MultiPixelPackedSampleModel
+ - MultiPopupMenuUI
+ - MultiProgressBarUI
+ - MultiRootPaneUI
+ - MultiScrollBarUI
+ - MultiScrollPaneUI
+ - MultiSeparatorUI
+ - MultiSliderUI
+ - MultiSpinnerUI
+ - MultiSplitPaneUI
+ - MultiTabbedPaneUI
+ - MultiTableHeaderUI
+ - MultiTableUI
+ - MultiTextUI
+ - MultiToolBarUI
+ - MultiToolTipUI
+ - MultiTreeUI
+ - MultiViewportUI
+ - MulticastSocket
+ - MultipleComponentProfileHelper
+ - MultipleComponentProfileHolder
+ - MultipleDocumentHandling
+ - MultipleMaster
+ - MutableAttributeSet
+ - MutableComboBoxModel
+ - MutableTreeNode
+ - NON_EXISTENT
+ - NO_IMPLEMENT
+ - NO_MEMORY
+ - NO_PERMISSION
+ - NO_RESOURCES
+ - NO_RESPONSE
+ - NVList
+ - Name
+ - NameAlreadyBoundException
+ - NameCallback
+ - NameClassPair
+ - NameComponent
+ - NameComponentHelper
+ - NameComponentHolder
+ - NameDynAnyPair
+ - NameDynAnyPairHelper
+ - NameDynAnyPairSeqHelper
+ - NameHelper
+ - NameHolder
+ - NameList
+ - NameNotFoundException
+ - NameParser
+ - NameValuePair
+ - NameValuePair
+ - NameValuePairHelper
+ - NameValuePairHelper
+ - NameValuePairSeqHelper
+ - NamedNodeMap
+ - NamedValue
+ - NamespaceChangeListener
+ - NamespaceContext
+ - NamespaceSupport
+ - Naming
+ - NamingContext
+ - NamingContextExt
+ - NamingContextExtHelper
+ - NamingContextExtHolder
+ - NamingContextExtOperations
+ - NamingContextExtPOA
+ - NamingContextHelper
+ - NamingContextHolder
+ - NamingContextOperations
+ - NamingContextPOA
+ - NamingEnumeration
+ - NamingEvent
+ - NamingException
+ - NamingExceptionEvent
+ - NamingListener
+ - NamingManager
+ - NamingSecurityException
+ - NavigationFilter
+ - NavigationFilter.FilterBypass
+ - NegativeArraySizeException
+ - NetPermission
+ - NetworkInterface
+ - NoClassDefFoundError
+ - NoConnectionPendingException
+ - NoContext
+ - NoContextHelper
+ - NoInitialContextException
+ - NoPermissionException
+ - NoRouteToHostException
+ - NoServant
+ - NoServantHelper
+ - NoSuchAlgorithmException
+ - NoSuchAttributeException
+ - NoSuchElementException
+ - NoSuchFieldError
+ - NoSuchFieldException
+ - NoSuchMethodError
+ - NoSuchMethodException
+ - NoSuchObjectException
+ - NoSuchPaddingException
+ - NoSuchProviderException
+ - Node
+ - NodeChangeEvent
+ - NodeChangeListener
+ - NodeList
+ - NonReadableChannelException
+ - NonWritableChannelException
+ - NoninvertibleTransformException
+ - NotActiveException
+ - NotBoundException
+ - NotCompliantMBeanException
+ - NotContextException
+ - NotEmpty
+ - NotEmptyHelper
+ - NotEmptyHolder
+ - NotFound
+ - NotFoundHelper
+ - NotFoundHolder
+ - NotFoundReason
+ - NotFoundReasonHelper
+ - NotFoundReasonHolder
+ - NotOwnerException
+ - NotSerializableException
+ - NotYetBoundException
+ - NotYetConnectedException
+ - Notation
+ - Notification
+ - NotificationBroadcaster
+ - NotificationBroadcasterSupport
+ - NotificationEmitter
+ - NotificationFilter
+ - NotificationFilterSupport
+ - NotificationListener
+ - NotificationResult
+ - NullCipher
+ - NullPointerException
+ - Number
+ - NumberFormat
+ - NumberFormat.Field
+ - NumberFormatException
+ - NumberFormatter
+ - NumberOfDocuments
+ - NumberOfInterveningJobs
+ - NumberUp
+ - NumberUpSupported
+ - NumericShaper
+ - OAEPParameterSpec
+ - OBJECT_NOT_EXIST
+ - OBJ_ADAPTER
+ - OMGVMCID
+ - ORB
+ - ORB
+ - ORBIdHelper
+ - ORBInitInfo
+ - ORBInitInfoOperations
+ - ORBInitializer
+ - ORBInitializerOperations
+ - ObjID
+ - Object
+ - Object
+ - ObjectAlreadyActive
+ - ObjectAlreadyActiveHelper
+ - ObjectChangeListener
+ - ObjectFactory
+ - ObjectFactoryBuilder
+ - ObjectHelper
+ - ObjectHolder
+ - ObjectIdHelper
+ - ObjectIdHelper
+ - ObjectImpl
+ - ObjectImpl
+ - ObjectInput
+ - ObjectInputStream
+ - ObjectInputStream.GetField
+ - ObjectInputValidation
+ - ObjectInstance
+ - ObjectName
+ - ObjectNotActive
+ - ObjectNotActiveHelper
+ - ObjectOutput
+ - ObjectOutputStream
+ - ObjectOutputStream.PutField
+ - ObjectReferenceFactory
+ - ObjectReferenceFactoryHelper
+ - ObjectReferenceFactoryHolder
+ - ObjectReferenceTemplate
+ - ObjectReferenceTemplateHelper
+ - ObjectReferenceTemplateHolder
+ - ObjectReferenceTemplateSeqHelper
+ - ObjectReferenceTemplateSeqHolder
+ - ObjectStreamClass
+ - ObjectStreamConstants
+ - ObjectStreamException
+ - ObjectStreamField
+ - ObjectView
+ - Observable
+ - Observer
+ - OceanTheme
+ - OctetSeqHelper
+ - OctetSeqHolder
+ - Oid
+ - OpenDataException
+ - OpenMBeanAttributeInfo
+ - OpenMBeanAttributeInfoSupport
+ - OpenMBeanConstructorInfo
+ - OpenMBeanConstructorInfoSupport
+ - OpenMBeanInfo
+ - OpenMBeanInfoSupport
+ - OpenMBeanOperationInfo
+ - OpenMBeanOperationInfoSupport
+ - OpenMBeanParameterInfo
+ - OpenMBeanParameterInfoSupport
+ - OpenType
+ - OpenType
+ - OperatingSystemMXBean
+ - Operation
+ - OperationNotSupportedException
+ - OperationsException
+ - Option
+ - OptionPaneUI
+ - OptionalDataException
+ - OrientationRequested
+ - OutOfMemoryError
+ - OutputDeviceAssigned
+ - OutputKeys
+ - OutputStream
+ - OutputStream
+ - OutputStream
+ - OutputStreamWriter
+ - OverlappingFileLockException
+ - OverlayLayout
+ - Override
+ - Owner
+ - PBEKey
+ - PBEKeySpec
+ - PBEParameterSpec
+ - PDLOverrideSupported
+ - PERSIST_STORE
+ - PKCS8EncodedKeySpec
+ - PKIXBuilderParameters
+ - PKIXCertPathBuilderResult
+ - PKIXCertPathChecker
+ - PKIXCertPathValidatorResult
+ - PKIXParameters
+ - POA
+ - POAHelper
+ - POAManager
+ - POAManagerOperations
+ - POAOperations
+ - PRIVATE_MEMBER
+ - PSSParameterSpec
+ - PSource
+ - PSource.PSpecified
+ - PUBLIC_MEMBER
+ - Pack200
+ - Pack200.Packer
+ - Pack200.Unpacker
+ - Package
+ - PackedColorModel
+ - PageAttributes
+ - PageAttributes.ColorType
+ - PageAttributes.MediaType
+ - PageAttributes.OrientationRequestedType
+ - PageAttributes.OriginType
+ - PageAttributes.PrintQualityType
+ - PageFormat
+ - PageRanges
+ - Pageable
+ - PagedResultsControl
+ - PagedResultsResponseControl
+ - PagesPerMinute
+ - PagesPerMinuteColor
+ - Paint
+ - PaintContext
+ - PaintEvent
+ - Panel
+ - PanelUI
+ - Paper
+ - ParagraphView
+ - ParagraphView
+ - Parameter
+ - ParameterBlock
+ - ParameterDescriptor
+ - ParameterMetaData
+ - ParameterMode
+ - ParameterModeHelper
+ - ParameterModeHolder
+ - ParameterizedType
+ - ParseException
+ - ParsePosition
+ - Parser
+ - Parser
+ - ParserAdapter
+ - ParserConfigurationException
+ - ParserDelegator
+ - ParserFactory
+ - PartialResultException
+ - PasswordAuthentication
+ - PasswordCallback
+ - PasswordView
+ - Patch
+ - PathIterator
+ - Pattern
+ - PatternSyntaxException
+ - Permission
+ - Permission
+ - PermissionCollection
+ - Permissions
+ - PersistenceDelegate
+ - PersistentMBean
+ - PhantomReference
+ - Pipe
+ - Pipe.SinkChannel
+ - Pipe.SourceChannel
+ - PipedInputStream
+ - PipedOutputStream
+ - PipedReader
+ - PipedWriter
+ - PixelGrabber
+ - PixelInterleavedSampleModel
+ - PlainDocument
+ - PlainView
+ - Point
+ - Point2D
+ - Point2D.Double
+ - Point2D.Float
+ - PointerInfo
+ - Policy
+ - Policy
+ - Policy
+ - PolicyError
+ - PolicyErrorCodeHelper
+ - PolicyErrorHelper
+ - PolicyErrorHolder
+ - PolicyFactory
+ - PolicyFactoryOperations
+ - PolicyHelper
+ - PolicyHolder
+ - PolicyListHelper
+ - PolicyListHolder
+ - PolicyNode
+ - PolicyOperations
+ - PolicyQualifierInfo
+ - PolicyTypeHelper
+ - Polygon
+ - PooledConnection
+ - Popup
+ - PopupFactory
+ - PopupMenu
+ - PopupMenuEvent
+ - PopupMenuListener
+ - PopupMenuUI
+ - Port
+ - Port.Info
+ - PortUnreachableException
+ - PortableRemoteObject
+ - PortableRemoteObjectDelegate
+ - Position
+ - Position.Bias
+ - Predicate
+ - PreferenceChangeEvent
+ - PreferenceChangeListener
+ - Preferences
+ - PreferencesFactory
+ - PreparedStatement
+ - PresentationDirection
+ - Principal
+ - Principal
+ - PrincipalHolder
+ - PrintEvent
+ - PrintException
+ - PrintGraphics
+ - PrintJob
+ - PrintJobAdapter
+ - PrintJobAttribute
+ - PrintJobAttributeEvent
+ - PrintJobAttributeListener
+ - PrintJobAttributeSet
+ - PrintJobEvent
+ - PrintJobListener
+ - PrintQuality
+ - PrintRequestAttribute
+ - PrintRequestAttributeSet
+ - PrintService
+ - PrintServiceAttribute
+ - PrintServiceAttributeEvent
+ - PrintServiceAttributeListener
+ - PrintServiceAttributeSet
+ - PrintServiceLookup
+ - PrintStream
+ - PrintWriter
+ - Printable
+ - PrinterAbortException
+ - PrinterException
+ - PrinterGraphics
+ - PrinterIOException
+ - PrinterInfo
+ - PrinterIsAcceptingJobs
+ - PrinterJob
+ - PrinterLocation
+ - PrinterMakeAndModel
+ - PrinterMessageFromOperator
+ - PrinterMoreInfo
+ - PrinterMoreInfoManufacturer
+ - PrinterName
+ - PrinterResolution
+ - PrinterState
+ - PrinterStateReason
+ - PrinterStateReasons
+ - PrinterURI
+ - PriorityBlockingQueue
+ - PriorityQueue
+ - PrivateClassLoader
+ - PrivateCredentialPermission
+ - PrivateKey
+ - PrivateMLet
+ - PrivilegedAction
+ - PrivilegedActionException
+ - PrivilegedExceptionAction
+ - Process
+ - ProcessBuilder
+ - ProcessingInstruction
+ - ProfileDataException
+ - ProfileIdHelper
+ - ProgressBarUI
+ - ProgressMonitor
+ - ProgressMonitorInputStream
+ - Properties
+ - PropertyChangeEvent
+ - PropertyChangeListener
+ - PropertyChangeListenerProxy
+ - PropertyChangeSupport
+ - PropertyDescriptor
+ - PropertyEditor
+ - PropertyEditorManager
+ - PropertyEditorSupport
+ - PropertyPermission
+ - PropertyResourceBundle
+ - PropertyVetoException
+ - ProtectionDomain
+ - ProtocolException
+ - Provider
+ - Provider.Service
+ - ProviderException
+ - Proxy
+ - Proxy
+ - Proxy.Type
+ - ProxySelector
+ - PublicKey
+ - PushbackInputStream
+ - PushbackReader
+ - QName
+ - QuadCurve2D
+ - QuadCurve2D.Double
+ - QuadCurve2D.Float
+ - Query
+ - QueryEval
+ - QueryExp
+ - Queue
+ - QueuedJobCount
+ - RC2ParameterSpec
+ - RC5ParameterSpec
+ - REBIND
+ - REQUEST_PROCESSING_POLICY_ID
+ - RGBImageFilter
+ - RMIClassLoader
+ - RMIClassLoaderSpi
+ - RMIClientSocketFactory
+ - RMIConnection
+ - RMIConnectionImpl
+ - RMIConnectionImpl_Stub
+ - RMIConnector
+ - RMIConnectorServer
+ - RMICustomMaxStreamFormat
+ - RMIFailureHandler
+ - RMIIIOPServerImpl
+ - RMIJRMPServerImpl
+ - RMISecurityException
+ - RMISecurityManager
+ - RMIServer
+ - RMIServerImpl
+ - RMIServerImpl_Stub
+ - RMIServerSocketFactory
+ - RMISocketFactory
+ - RSAKey
+ - RSAKeyGenParameterSpec
+ - RSAMultiPrimePrivateCrtKey
+ - RSAMultiPrimePrivateCrtKeySpec
+ - RSAOtherPrimeInfo
+ - RSAPrivateCrtKey
+ - RSAPrivateCrtKeySpec
+ - RSAPrivateKey
+ - RSAPrivateKeySpec
+ - RSAPublicKey
+ - RSAPublicKeySpec
+ - RTFEditorKit
+ - Random
+ - RandomAccess
+ - RandomAccessFile
+ - Raster
+ - RasterFormatException
+ - RasterOp
+ - Rdn
+ - ReadOnlyBufferException
+ - ReadWriteLock
+ - Readable
+ - ReadableByteChannel
+ - Reader
+ - RealmCallback
+ - RealmChoiceCallback
+ - Receiver
+ - Rectangle
+ - Rectangle2D
+ - Rectangle2D.Double
+ - Rectangle2D.Float
+ - RectangularShape
+ - ReentrantLock
+ - ReentrantReadWriteLock
+ - ReentrantReadWriteLock.ReadLock
+ - ReentrantReadWriteLock.WriteLock
+ - Ref
+ - RefAddr
+ - Reference
+ - Reference
+ - ReferenceQueue
+ - ReferenceUriSchemesSupported
+ - Referenceable
+ - ReferralException
+ - ReflectPermission
+ - ReflectionException
+ - RefreshFailedException
+ - Refreshable
+ - Region
+ - RegisterableService
+ - Registry
+ - RegistryHandler
+ - RejectedExecutionException
+ - RejectedExecutionHandler
+ - Relation
+ - RelationException
+ - RelationNotFoundException
+ - RelationNotification
+ - RelationService
+ - RelationServiceMBean
+ - RelationServiceNotRegisteredException
+ - RelationSupport
+ - RelationSupportMBean
+ - RelationType
+ - RelationTypeNotFoundException
+ - RelationTypeSupport
+ - RemarshalException
+ - Remote
+ - RemoteCall
+ - RemoteException
+ - RemoteObject
+ - RemoteObjectInvocationHandler
+ - RemoteRef
+ - RemoteServer
+ - RemoteStub
+ - RenderContext
+ - RenderableImage
+ - RenderableImageOp
+ - RenderableImageProducer
+ - RenderedImage
+ - RenderedImageFactory
+ - Renderer
+ - RenderingHints
+ - RenderingHints.Key
+ - RepaintManager
+ - ReplicateScaleFilter
+ - RepositoryIdHelper
+ - Request
+ - RequestInfo
+ - RequestInfoOperations
+ - RequestProcessingPolicy
+ - RequestProcessingPolicyOperations
+ - RequestProcessingPolicyValue
+ - RequestingUserName
+ - RequiredModelMBean
+ - RescaleOp
+ - ResolutionSyntax
+ - ResolveResult
+ - Resolver
+ - ResourceBundle
+ - ResponseCache
+ - ResponseHandler
+ - Result
+ - ResultSet
+ - ResultSetMetaData
+ - Retention
+ - RetentionPolicy
+ - ReverbType
+ - Robot
+ - Role
+ - RoleInfo
+ - RoleInfoNotFoundException
+ - RoleList
+ - RoleNotFoundException
+ - RoleResult
+ - RoleStatus
+ - RoleUnresolved
+ - RoleUnresolvedList
+ - RootPaneContainer
+ - RootPaneUI
+ - RoundRectangle2D
+ - RoundRectangle2D.Double
+ - RoundRectangle2D.Float
+ - RoundingMode
+ - RowMapper
+ - RowSet
+ - RowSetEvent
+ - RowSetInternal
+ - RowSetListener
+ - RowSetMetaData
+ - RowSetMetaDataImpl
+ - RowSetReader
+ - RowSetWarning
+ - RowSetWriter
+ - RuleBasedCollator
+ - RunTime
+ - RunTimeOperations
+ - Runnable
+ - Runtime
+ - RuntimeErrorException
+ - RuntimeException
+ - RuntimeMBeanException
+ - RuntimeMXBean
+ - RuntimeOperationsException
+ - RuntimePermission
+ - SAXException
+ - SAXNotRecognizedException
+ - SAXNotSupportedException
+ - SAXParseException
+ - SAXParser
+ - SAXParserFactory
+ - SAXResult
+ - SAXSource
+ - SAXTransformerFactory
+ - SERVANT_RETENTION_POLICY_ID
+ - SQLData
+ - SQLException
+ - SQLInput
+ - SQLInputImpl
+ - SQLOutput
+ - SQLOutputImpl
+ - SQLPermission
+ - SQLWarning
+ - SSLContext
+ - SSLContextSpi
+ - SSLEngine
+ - SSLEngineResult
+ - SSLEngineResult.HandshakeStatus
+ - SSLEngineResult.Status
+ - SSLException
+ - SSLHandshakeException
+ - SSLKeyException
+ - SSLPeerUnverifiedException
+ - SSLPermission
+ - SSLProtocolException
+ - SSLServerSocket
+ - SSLServerSocketFactory
+ - SSLSession
+ - SSLSessionBindingEvent
+ - SSLSessionBindingListener
+ - SSLSessionContext
+ - SSLSocket
+ - SSLSocketFactory
+ - SUCCESSFUL
+ - SYNC_WITH_TRANSPORT
+ - SYSTEM_EXCEPTION
+ - SampleModel
+ - Sasl
+ - SaslClient
+ - SaslClientFactory
+ - SaslException
+ - SaslServer
+ - SaslServerFactory
+ - Savepoint
+ - Scanner
+ - ScatteringByteChannel
+ - ScheduledExecutorService
+ - ScheduledFuture
+ - ScheduledThreadPoolExecutor
+ - Schema
+ - SchemaFactory
+ - SchemaFactoryLoader
+ - SchemaViolationException
+ - ScrollBarUI
+ - ScrollPane
+ - ScrollPaneAdjustable
+ - ScrollPaneConstants
+ - ScrollPaneLayout
+ - ScrollPaneLayout.UIResource
+ - ScrollPaneUI
+ - Scrollable
+ - Scrollbar
+ - SealedObject
+ - SearchControls
+ - SearchResult
+ - SecretKey
+ - SecretKeyFactory
+ - SecretKeyFactorySpi
+ - SecretKeySpec
+ - SecureCacheResponse
+ - SecureClassLoader
+ - SecureRandom
+ - SecureRandomSpi
+ - Security
+ - SecurityException
+ - SecurityManager
+ - SecurityPermission
+ - Segment
+ - SelectableChannel
+ - SelectionKey
+ - Selector
+ - SelectorProvider
+ - Semaphore
+ - SeparatorUI
+ - Sequence
+ - SequenceInputStream
+ - Sequencer
+ - Sequencer.SyncMode
+ - SerialArray
+ - SerialBlob
+ - SerialClob
+ - SerialDatalink
+ - SerialException
+ - SerialJavaObject
+ - SerialRef
+ - SerialStruct
+ - Serializable
+ - SerializablePermission
+ - Servant
+ - ServantActivator
+ - ServantActivatorHelper
+ - ServantActivatorOperations
+ - ServantActivatorPOA
+ - ServantAlreadyActive
+ - ServantAlreadyActiveHelper
+ - ServantLocator
+ - ServantLocatorHelper
+ - ServantLocatorOperations
+ - ServantLocatorPOA
+ - ServantManager
+ - ServantManagerOperations
+ - ServantNotActive
+ - ServantNotActiveHelper
+ - ServantObject
+ - ServantRetentionPolicy
+ - ServantRetentionPolicyOperations
+ - ServantRetentionPolicyValue
+ - ServerCloneException
+ - ServerError
+ - ServerException
+ - ServerIdHelper
+ - ServerNotActiveException
+ - ServerRef
+ - ServerRequest
+ - ServerRequestInfo
+ - ServerRequestInfoOperations
+ - ServerRequestInterceptor
+ - ServerRequestInterceptorOperations
+ - ServerRuntimeException
+ - ServerSocket
+ - ServerSocketChannel
+ - ServerSocketFactory
+ - ServiceContext
+ - ServiceContextHelper
+ - ServiceContextHolder
+ - ServiceContextListHelper
+ - ServiceContextListHolder
+ - ServiceDetail
+ - ServiceDetailHelper
+ - ServiceIdHelper
+ - ServiceInformation
+ - ServiceInformationHelper
+ - ServiceInformationHolder
+ - ServiceNotFoundException
+ - ServicePermission
+ - ServiceRegistry
+ - ServiceRegistry.Filter
+ - ServiceUI
+ - ServiceUIFactory
+ - ServiceUnavailableException
+ - Set
+ - SetOfIntegerSyntax
+ - SetOverrideType
+ - SetOverrideTypeHelper
+ - Severity
+ - Shape
+ - ShapeGraphicAttribute
+ - SheetCollate
+ - Short
+ - ShortBuffer
+ - ShortBufferException
+ - ShortHolder
+ - ShortLookupTable
+ - ShortMessage
+ - ShortSeqHelper
+ - ShortSeqHolder
+ - Sides
+ - Signature
+ - SignatureException
+ - SignatureSpi
+ - SignedObject
+ - Signer
+ - SimpleAttributeSet
+ - SimpleBeanInfo
+ - SimpleDateFormat
+ - SimpleDoc
+ - SimpleFormatter
+ - SimpleTimeZone
+ - SimpleType
+ - SinglePixelPackedSampleModel
+ - SingleSelectionModel
+ - Size2DSyntax
+ - SizeLimitExceededException
+ - SizeRequirements
+ - SizeSequence
+ - Skeleton
+ - SkeletonMismatchException
+ - SkeletonNotFoundException
+ - SliderUI
+ - Socket
+ - SocketAddress
+ - SocketChannel
+ - SocketException
+ - SocketFactory
+ - SocketHandler
+ - SocketImpl
+ - SocketImplFactory
+ - SocketOptions
+ - SocketPermission
+ - SocketSecurityException
+ - SocketTimeoutException
+ - SoftBevelBorder
+ - SoftReference
+ - SortControl
+ - SortKey
+ - SortResponseControl
+ - SortedMap
+ - SortedSet
+ - SortingFocusTraversalPolicy
+ - Soundbank
+ - SoundbankReader
+ - SoundbankResource
+ - Source
+ - SourceDataLine
+ - SourceLocator
+ - SpinnerDateModel
+ - SpinnerListModel
+ - SpinnerModel
+ - SpinnerNumberModel
+ - SpinnerUI
+ - SplitPaneUI
+ - Spring
+ - SpringLayout
+ - SpringLayout.Constraints
+ - SslRMIClientSocketFactory
+ - SslRMIServerSocketFactory
+ - Stack
+ - StackOverflowError
+ - StackTraceElement
+ - StandardMBean
+ - StartTlsRequest
+ - StartTlsResponse
+ - State
+ - StateEdit
+ - StateEditable
+ - StateFactory
+ - Statement
+ - Statement
+ - StreamCorruptedException
+ - StreamHandler
+ - StreamPrintService
+ - StreamPrintServiceFactory
+ - StreamResult
+ - StreamSource
+ - StreamTokenizer
+ - Streamable
+ - StreamableValue
+ - StrictMath
+ - String
+ - StringBuffer
+ - StringBufferInputStream
+ - StringBuilder
+ - StringCharacterIterator
+ - StringContent
+ - StringHolder
+ - StringIndexOutOfBoundsException
+ - StringMonitor
+ - StringMonitorMBean
+ - StringNameHelper
+ - StringReader
+ - StringRefAddr
+ - StringSelection
+ - StringSeqHelper
+ - StringSeqHolder
+ - StringTokenizer
+ - StringValueExp
+ - StringValueHelper
+ - StringWriter
+ - Stroke
+ - Struct
+ - StructMember
+ - StructMemberHelper
+ - Stub
+ - StubDelegate
+ - StubNotFoundException
+ - Style
+ - StyleConstants
+ - StyleConstants.CharacterConstants
+ - StyleConstants.ColorConstants
+ - StyleConstants.FontConstants
+ - StyleConstants.ParagraphConstants
+ - StyleContext
+ - StyleSheet
+ - StyleSheet.BoxPainter
+ - StyleSheet.ListPainter
+ - StyledDocument
+ - StyledEditorKit
+ - StyledEditorKit.AlignmentAction
+ - StyledEditorKit.BoldAction
+ - StyledEditorKit.FontFamilyAction
+ - StyledEditorKit.FontSizeAction
+ - StyledEditorKit.ForegroundAction
+ - StyledEditorKit.ItalicAction
+ - StyledEditorKit.StyledTextAction
+ - StyledEditorKit.UnderlineAction
+ - Subject
+ - SubjectDelegationPermission
+ - SubjectDomainCombiner
+ - SupportedValuesAttribute
+ - SuppressWarnings
+ - SwingConstants
+ - SwingPropertyChangeSupport
+ - SwingUtilities
+ - SyncFactory
+ - SyncFactoryException
+ - SyncFailedException
+ - SyncProvider
+ - SyncProviderException
+ - SyncResolver
+ - SyncScopeHelper
+ - SynchronousQueue
+ - SynthConstants
+ - SynthContext
+ - SynthGraphicsUtils
+ - SynthLookAndFeel
+ - SynthPainter
+ - SynthStyle
+ - SynthStyleFactory
+ - Synthesizer
+ - SysexMessage
+ - System
+ - SystemColor
+ - SystemException
+ - SystemFlavorMap
+ - TAG_ALTERNATE_IIOP_ADDRESS
+ - TAG_CODE_SETS
+ - TAG_INTERNET_IOP
+ - TAG_JAVA_CODEBASE
+ - TAG_MULTIPLE_COMPONENTS
+ - TAG_ORB_TYPE
+ - TAG_POLICIES
+ - TAG_RMI_CUSTOM_MAX_STREAM_FORMAT
+ - TCKind
+ - THREAD_POLICY_ID
+ - TIMEOUT
+ - TRANSACTION_MODE
+ - TRANSACTION_REQUIRED
+ - TRANSACTION_ROLLEDBACK
+ - TRANSACTION_UNAVAILABLE
+ - TRANSIENT
+ - TRANSPORT_RETRY
+ - TabExpander
+ - TabSet
+ - TabStop
+ - TabableView
+ - TabbedPaneUI
+ - TableCellEditor
+ - TableCellRenderer
+ - TableColumn
+ - TableColumnModel
+ - TableColumnModelEvent
+ - TableColumnModelListener
+ - TableHeaderUI
+ - TableModel
+ - TableModelEvent
+ - TableModelListener
+ - TableUI
+ - TableView
+ - TabularData
+ - TabularDataSupport
+ - TabularType
+ - TagElement
+ - TaggedComponent
+ - TaggedComponentHelper
+ - TaggedComponentHolder
+ - TaggedProfile
+ - TaggedProfileHelper
+ - TaggedProfileHolder
+ - Target
+ - TargetDataLine
+ - TargetedNotification
+ - Templates
+ - TemplatesHandler
+ - Text
+ - TextAction
+ - TextArea
+ - TextAttribute
+ - TextComponent
+ - TextEvent
+ - TextField
+ - TextHitInfo
+ - TextInputCallback
+ - TextLayout
+ - TextLayout.CaretPolicy
+ - TextListener
+ - TextMeasurer
+ - TextOutputCallback
+ - TextSyntax
+ - TextUI
+ - TexturePaint
+ - Thread
+ - Thread.State
+ - Thread.UncaughtExceptionHandler
+ - ThreadDeath
+ - ThreadFactory
+ - ThreadGroup
+ - ThreadInfo
+ - ThreadLocal
+ - ThreadMXBean
+ - ThreadPolicy
+ - ThreadPolicyOperations
+ - ThreadPolicyValue
+ - ThreadPoolExecutor
+ - ThreadPoolExecutor.AbortPolicy
+ - ThreadPoolExecutor.CallerRunsPolicy
+ - ThreadPoolExecutor.DiscardOldestPolicy
+ - ThreadPoolExecutor.DiscardPolicy
+ - Throwable
+ - Tie
+ - TileObserver
+ - Time
+ - TimeLimitExceededException
+ - TimeUnit
+ - TimeZone
+ - TimeoutException
+ - Timer
+ - Timer
+ - Timer
+ - TimerAlarmClockNotification
+ - TimerMBean
+ - TimerNotification
+ - TimerTask
+ - Timestamp
+ - Timestamp
+ - TitledBorder
+ - TooManyListenersException
+ - ToolBarUI
+ - ToolTipManager
+ - ToolTipUI
+ - Toolkit
+ - Track
+ - TransactionRequiredException
+ - TransactionRolledbackException
+ - TransactionService
+ - TransactionalWriter
+ - TransferHandler
+ - Transferable
+ - TransformAttribute
+ - Transformer
+ - TransformerConfigurationException
+ - TransformerException
+ - TransformerFactory
+ - TransformerFactoryConfigurationError
+ - TransformerHandler
+ - Transmitter
+ - Transparency
+ - TreeCellEditor
+ - TreeCellRenderer
+ - TreeExpansionEvent
+ - TreeExpansionListener
+ - TreeMap
+ - TreeModel
+ - TreeModelEvent
+ - TreeModelListener
+ - TreeNode
+ - TreePath
+ - TreeSelectionEvent
+ - TreeSelectionListener
+ - TreeSelectionModel
+ - TreeSet
+ - TreeUI
+ - TreeWillExpandListener
+ - TrustAnchor
+ - TrustManager
+ - TrustManagerFactory
+ - TrustManagerFactorySpi
+ - Type
+ - TypeCode
+ - TypeCodeHolder
+ - TypeInfo
+ - TypeInfoProvider
+ - TypeMismatch
+ - TypeMismatch
+ - TypeMismatch
+ - TypeMismatchHelper
+ - TypeMismatchHelper
+ - TypeNotPresentException
+ - TypeVariable
+ - Types
+ - UID
+ - UIDefaults
+ - UIDefaults.ActiveValue
+ - UIDefaults.LazyInputMap
+ - UIDefaults.LazyValue
+ - UIDefaults.ProxyLazyValue
+ - UIManager
+ - UIManager.LookAndFeelInfo
+ - UIResource
+ - ULongLongSeqHelper
+ - ULongLongSeqHolder
+ - ULongSeqHelper
+ - ULongSeqHolder
+ - UNKNOWN
+ - UNKNOWN
+ - UNSUPPORTED_POLICY
+ - UNSUPPORTED_POLICY_VALUE
+ - URI
+ - URIException
+ - URIResolver
+ - URISyntax
+ - URISyntaxException
+ - URL
+ - URLClassLoader
+ - URLConnection
+ - URLDecoder
+ - URLEncoder
+ - URLStreamHandler
+ - URLStreamHandlerFactory
+ - URLStringHelper
+ - USER_EXCEPTION
+ - UShortSeqHelper
+ - UShortSeqHolder
+ - UTFDataFormatException
+ - UUID
+ - UndeclaredThrowableException
+ - UndoManager
+ - UndoableEdit
+ - UndoableEditEvent
+ - UndoableEditListener
+ - UndoableEditSupport
+ - UnexpectedException
+ - UnicastRemoteObject
+ - UnionMember
+ - UnionMemberHelper
+ - UnknownEncoding
+ - UnknownEncodingHelper
+ - UnknownError
+ - UnknownException
+ - UnknownFormatConversionException
+ - UnknownFormatFlagsException
+ - UnknownGroupException
+ - UnknownHostException
+ - UnknownHostException
+ - UnknownObjectException
+ - UnknownServiceException
+ - UnknownUserException
+ - UnknownUserExceptionHelper
+ - UnknownUserExceptionHolder
+ - UnmappableCharacterException
+ - UnmarshalException
+ - UnmodifiableClassException
+ - UnmodifiableSetException
+ - UnrecoverableEntryException
+ - UnrecoverableKeyException
+ - Unreferenced
+ - UnresolvedAddressException
+ - UnresolvedPermission
+ - UnsatisfiedLinkError
+ - UnsolicitedNotification
+ - UnsolicitedNotificationEvent
+ - UnsolicitedNotificationListener
+ - UnsupportedAddressTypeException
+ - UnsupportedAudioFileException
+ - UnsupportedCallbackException
+ - UnsupportedCharsetException
+ - UnsupportedClassVersionError
+ - UnsupportedEncodingException
+ - UnsupportedFlavorException
+ - UnsupportedLookAndFeelException
+ - UnsupportedOperationException
+ - UserDataHandler
+ - UserException
+ - Util
+ - UtilDelegate
+ - Utilities
+ - VMID
+ - VM_ABSTRACT
+ - VM_CUSTOM
+ - VM_NONE
+ - VM_TRUNCATABLE
+ - Validator
+ - ValidatorHandler
+ - ValueBase
+ - ValueBaseHelper
+ - ValueBaseHolder
+ - ValueExp
+ - ValueFactory
+ - ValueHandler
+ - ValueHandlerMultiFormat
+ - ValueInputStream
+ - ValueMember
+ - ValueMemberHelper
+ - ValueOutputStream
+ - VariableHeightLayoutCache
+ - Vector
+ - VerifyError
+ - VersionSpecHelper
+ - VetoableChangeListener
+ - VetoableChangeListenerProxy
+ - VetoableChangeSupport
+ - View
+ - ViewFactory
+ - ViewportLayout
+ - ViewportUI
+ - VirtualMachineError
+ - Visibility
+ - VisibilityHelper
+ - VoiceStatus
+ - Void
+ - VolatileImage
+ - WCharSeqHelper
+ - WCharSeqHolder
+ - WStringSeqHelper
+ - WStringSeqHolder
+ - WStringValueHelper
+ - WeakHashMap
+ - WeakReference
+ - WebRowSet
+ - WildcardType
+ - Window
+ - WindowAdapter
+ - WindowConstants
+ - WindowEvent
+ - WindowFocusListener
+ - WindowListener
+ - WindowStateListener
+ - WrappedPlainView
+ - WritableByteChannel
+ - WritableRaster
+ - WritableRenderedImage
+ - WriteAbortedException
+ - Writer
+ - WrongAdapter
+ - WrongAdapterHelper
+ - WrongPolicy
+ - WrongPolicyHelper
+ - WrongTransaction
+ - WrongTransactionHelper
+ - WrongTransactionHolder
+ - X500Principal
+ - X500PrivateCredential
+ - X509CRL
+ - X509CRLEntry
+ - X509CRLSelector
+ - X509CertSelector
+ - X509Certificate
+ - X509Certificate
+ - X509EncodedKeySpec
+ - X509ExtendedKeyManager
+ - X509Extension
+ - X509KeyManager
+ - X509TrustManager
+ - XAConnection
+ - XADataSource
+ - XAException
+ - XAResource
+ - XMLConstants
+ - XMLDecoder
+ - XMLEncoder
+ - XMLFilter
+ - XMLFilterImpl
+ - XMLFormatter
+ - XMLGregorianCalendar
+ - XMLParseException
+ - XMLReader
+ - XMLReaderAdapter
+ - XMLReaderFactory
+ - XPath
+ - XPathConstants
+ - XPathException
+ - XPathExpression
+ - XPathExpressionException
+ - XPathFactory
+ - XPathFactoryConfigurationException
+ - XPathFunction
+ - XPathFunctionException
+ - XPathFunctionResolver
+ - XPathVariableResolver
+ - Xid
+ - XmlReader
+ - XmlWriter
+ - ZipEntry
+ - ZipException
+ - ZipFile
+ - ZipInputStream
+ - ZipOutputStream
+ - ZoneView
+ - _BindingIteratorImplBase
+ - _BindingIteratorStub
+ - _DynAnyFactoryStub
+ - _DynAnyStub
+ - _DynArrayStub
+ - _DynEnumStub
+ - _DynFixedStub
+ - _DynSequenceStub
+ - _DynStructStub
+ - _DynUnionStub
+ - _DynValueStub
+ - _IDLTypeStub
+ - _NamingContextExtStub
+ - _NamingContextImplBase
+ - _NamingContextStub
+ - _PolicyStub
+ - _Remote_Stub
+ - _ServantActivatorStub
+ - _ServantLocatorStub
- - abstract
- - case
- - catch
- - class
- - def
- - do
- - else
- - extends
- - false
- - final
- - finally
- - for
- - forSome
- - if
- - implicit
- - import
- - lazy
- - match
- - new
- - null
- - object
- - override
- - package
- - private
- - protected
- - requires
- - return
- - sealed
- - super
- - this
- - throw
- - trait
- - true
- - try
- - type
- - val
- - var
- - while
- - with
- - yield
+ - abstract
+ - case
+ - catch
+ - class
+ - def
+ - do
+ - else
+ - extends
+ - false
+ - final
+ - finally
+ - for
+ - forSome
+ - if
+ - implicit
+ - import
+ - lazy
+ - match
+ - new
+ - null
+ - object
+ - override
+ - package
+ - private
+ - protected
+ - requires
+ - return
+ - sealed
+ - super
+ - this
+ - throw
+ - trait
+ - true
+ - try
+ - type
+ - val
+ - var
+ - while
+ - with
+ - yield
- - boolean
- - byte
- - char
- - double
- - float
- - int
- - long
- - short
- - unit
+ - boolean
+ - byte
+ - char
+ - double
+ - float
+ - int
+ - long
+ - short
+ - unit
@@ -3406,21 +3406,10 @@ adapted from "java.xml" by Alfredo Luiz Foltran Fialho (alfoltran@ig.com.br)
-
-
-
+
-
-
-
-
-
-
-
-
-
-
+
@@ -3439,12 +3428,25 @@ adapted from "java.xml" by Alfredo Luiz Foltran Fialho (alfoltran@ig.com.br)
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
@@ -3476,8 +3478,8 @@ adapted from "java.xml" by Alfredo Luiz Foltran Fialho (alfoltran@ig.com.br)
-
-
+
+
diff --git a/kate/data/scheme.xml b/kate/data/scheme.xml
index a52fc91af..19a99414f 100644
--- a/kate/data/scheme.xml
+++ b/kate/data/scheme.xml
@@ -1,339 +1,299 @@
-
-
+
- - <=
- - <
- - =
- - =>
- - >=
- - >
- - -
- - /
- - *,*
- - *)
- - +
+ - <=
+ - <
+ - =
+ - =>
+ - >=
+ - >
+ - -
+ - /
+ - *,*
+ - *)
+ - +
- - #\nul
- - #\soh
- - #\stx
- - #\etx
- - #\eot
- - #\enq
- - #\ack
- - #\bel
- - #\bs
- - #\ht
- - #\nl
- - #\vt
- - #\np
- - #\cr
- - #\so
- - #\si
- - #\dle
- - #\dc1
- - #\dc2
- - #\dc3
- - #\dc4
- - #\nak
- - #\syn
- - #\etb
- - #\can
- - #\em
- - #\sub
- - #\esc
- - #\fs
- - #\gs
- - #\rs
- - #\us
- - #\space
- - #\sp
- - #\newline
- - #\nl
- - #\tab
- - #\ht
- - #\backspace
- - #\bs
- - #\return
- - #\cr
- - #\page
- - #\np
- - #\null
- - #\nul
+ - #\nul
+ - #\soh
+ - #\stx
+ - #\etx
+ - #\eot
+ - #\enq
+ - #\ack
+ - #\bel
+ - #\bs
+ - #\ht
+ - #\nl
+ - #\vt
+ - #\np
+ - #\cr
+ - #\so
+ - #\si
+ - #\dle
+ - #\dc1
+ - #\dc2
+ - #\dc3
+ - #\dc4
+ - #\nak
+ - #\syn
+ - #\etb
+ - #\can
+ - #\em
+ - #\sub
+ - #\esc
+ - #\fs
+ - #\gs
+ - #\rs
+ - #\us
+ - #\space
+ - #\sp
+ - #\newline
+ - #\nl
+ - #\tab
+ - #\ht
+ - #\backspace
+ - #\bs
+ - #\return
+ - #\cr
+ - #\page
+ - #\np
+ - #\null
+ - #\nul
- - define
- - define*
- - define-accessor
- - define-class
- - defined?
- - define-generic
- - define-macro
- - define-method
- - define-module
- - define-private
- - define-public
- - define*-public
- - define-reader-ctor
- - define-syntax
- - define-syntax-macro
- - defmacro
- - defmacro*
- - defmacro*-public
+ - define
+ - define*
+ - define-accessor
+ - define-class
+ - defined?
+ - define-generic
+ - define-macro
+ - define-method
+ - define-module
+ - define-private
+ - define-public
+ - define*-public
+ - define-reader-ctor
+ - define-syntax
+ - define-syntax-macro
+ - defmacro
+ - defmacro*
+ - defmacro*-public
- - abs
- - acos
- - and
- - angle
- - append
- - applymap
- - asin
- - assoc
- - assq
- - assv
- - atan
- - begin
- - boolean?
- - break
- - caaaar
- - caaadr
- - caaar
- - caadar
- - caaddr
- - caadr
- - caar
- - cadaar
- - cadadr
- - cadar
- - caddar
- - cadddr
- - caddr
- - cadr
- - call/cc
- - call-with-current-continuation
- - call-with-input-file
- - call-with-output-file
- - call-with-values
- - car
- - case
- - catch
- - cdaaar
- - cdaadr
- - cdaar
- - cdadar
- - cdaddr
- - cdadr
- - cdar
- - cddaar
- - cddadr
- - cddar
- - cdddar
- - cddddr
- - cdddr
- - cddr
- - cdr
- - ceiling
- - char-alphabetic?
- - char-ci>=?
- - char-ci>?
- - char-ci=?
- - char-ci<=?
- - char-downcase
- - char->integer
- - char>=?
- - char>?
- - char=?
- - char?
- - char-lower-case?
- - char<?c
- - char<=?
- - char-numeric?
- - char-ready?
- - char-upcase
- - char-upper-case?
- - char-whitespace?
- - close-input-port
- - close-output-port
- - complex?
- - cond
- - cons
- - continue
- - cos
- - current-input-port
- - current-output-port
- - denominator
- - display
- - do
- - dynamic-wind
- - else
- - eof-object?
- - eq?
- - equal?
- - eqv?
- - eval
- - even?
- - exact->inexact
- - exact?
- - exp
- - expt
- - floor
- - force
- - for-each
- - gcd
- - har-ci<?
- - if
- - imag-part
- - inexact->exact
- - inexact?
- - input-port?
- - integer->char
- - integer?
- - interaction-environment
- - lambda
- - lcm
- - length
- - let
- - let*
- - letrec
- - letrec-syntax
- - let-syntax
- - list->string
- - list
- - list?
- - list-ref
- - list-tail
- - load
- - log
- - magnitude
- - make-polar
- - make-rectangular
- - make-string
- - make-vector
- - max
- - member
- - memq
- - memv
- - min
- - modulo
- - negative?
- - newline
- - not
- - null-environment
- - null?
- - number?
- - number->string
- - numerator
- - odd?
- - open-input-file
- - open-output-file
- - or
- - output-port?
- - pair?
- - peek-char
- - port?
- - positive?
- - procedure?
- - quotient
- - rational?
- - rationalize
- - read-char
- - read
- - real?
- - real-part
- - remainder
- - reverse
- - round
- - scheme-report-environment
- - set-car!
- - set-cdr!
- - sin
- - sqrt
- - string-append
- - string-ci>=?
- - string-ci>?
- - string-ci=?
- - string-ci<=?
- - string-ci<?
- - string-copy
- - string-fill!
- - string>=?
- - string>?
- - string->list
- - string->number
- - string->symbol
- - string=?
- - string
- - string?
- - string-length
- - string<=?
- - string<?
- - string-ref
- - string-set!
- - substring
- - symbol->string
- - symbol?
- - syntax-rules
- - tan
- - transcript-off
- - transcript-on
- - truncate
- - values
- - vector-fill!
- - vector->listlist->vector
- - vector
- - vector?
- - vector-length
- - vector-ref
- - vector-set!
- - while
- - with-input-from-file
- - with-output-to-file
- - write-char
- - write
- - zero?
+ - abs
+ - acos
+ - and
+ - angle
+ - append
+ - applymap
+ - asin
+ - assoc
+ - assq
+ - assv
+ - atan
+ - begin
+ - boolean?
+ - break
+ - caaaar
+ - caaadr
+ - caaar
+ - caadar
+ - caaddr
+ - caadr
+ - caar
+ - cadaar
+ - cadadr
+ - cadar
+ - caddar
+ - cadddr
+ - caddr
+ - cadr
+ - call/cc
+ - call-with-current-continuation
+ - call-with-input-file
+ - call-with-output-file
+ - call-with-values
+ - car
+ - case
+ - catch
+ - cdaaar
+ - cdaadr
+ - cdaar
+ - cdadar
+ - cdaddr
+ - cdadr
+ - cdar
+ - cddaar
+ - cddadr
+ - cddar
+ - cdddar
+ - cddddr
+ - cdddr
+ - cddr
+ - cdr
+ - ceiling
+ - char-alphabetic?
+ - char-ci>=?
+ - char-ci>?
+ - char-ci=?
+ - char-ci<=?
+ - char-downcase
+ - char->integer
+ - char>=?
+ - char>?
+ - char=?
+ - char?
+ - char-lower-case?
+ - char<?c
+ - char<=?
+ - char-numeric?
+ - char-ready?
+ - char-upcase
+ - char-upper-case?
+ - char-whitespace?
+ - close-input-port
+ - close-output-port
+ - complex?
+ - cond
+ - cons
+ - continue
+ - cos
+ - current-input-port
+ - current-output-port
+ - denominator
+ - display
+ - do
+ - dynamic-wind
+ - else
+ - eof-object?
+ - eq?
+ - equal?
+ - eqv?
+ - eval
+ - even?
+ - exact->inexact
+ - exact?
+ - exp
+ - expt
+ - floor
+ - force
+ - for-each
+ - gcd
+ - har-ci<?
+ - if
+ - imag-part
+ - inexact->exact
+ - inexact?
+ - input-port?
+ - integer->char
+ - integer?
+ - interaction-environment
+ - lambda
+ - lcm
+ - length
+ - let
+ - let*
+ - letrec
+ - letrec-syntax
+ - let-syntax
+ - list->string
+ - list
+ - list?
+ - list-ref
+ - list-tail
+ - load
+ - log
+ - magnitude
+ - make-polar
+ - make-rectangular
+ - make-string
+ - make-vector
+ - max
+ - member
+ - memq
+ - memv
+ - min
+ - modulo
+ - negative?
+ - newline
+ - not
+ - null-environment
+ - null?
+ - number?
+ - number->string
+ - numerator
+ - odd?
+ - open-input-file
+ - open-output-file
+ - or
+ - output-port?
+ - pair?
+ - peek-char
+ - port?
+ - positive?
+ - procedure?
+ - quotient
+ - rational?
+ - rationalize
+ - read-char
+ - read
+ - real?
+ - real-part
+ - remainder
+ - reverse
+ - round
+ - scheme-report-environment
+ - set-car!
+ - set-cdr!
+ - sin
+ - sqrt
+ - string-append
+ - string-ci>=?
+ - string-ci>?
+ - string-ci=?
+ - string-ci<=?
+ - string-ci<?
+ - string-copy
+ - string-fill!
+ - string>=?
+ - string>?
+ - string->list
+ - string->number
+ - string->symbol
+ - string=?
+ - string
+ - string?
+ - string-length
+ - string<=?
+ - string<?
+ - string-ref
+ - string-set!
+ - substring
+ - symbol->string
+ - symbol?
+ - syntax-rules
+ - tan
+ - transcript-off
+ - transcript-on
+ - truncate
+ - values
+ - vector-fill!
+ - vector->listlist->vector
+ - vector
+ - vector?
+ - vector-length
+ - vector-ref
+ - vector-set!
+ - while
+ - with-input-from-file
+ - with-output-to-file
+ - write-char
+ - write
+ - zero?
@@ -410,9 +370,7 @@
-
-
diff --git a/kate/data/sci.xml b/kate/data/sci.xml
index e2dad6cf2..20af7ac61 100644
--- a/kate/data/sci.xml
+++ b/kate/data/sci.xml
@@ -1,1158 +1,1158 @@
-
+
- - do
- - else
- - for
- - if
- - elseif
- - end
- - select
- - then
- - while
+ - do
+ - else
+ - for
+ - if
+ - elseif
+ - end
+ - select
+ - then
+ - while
- - abort
- - break
- - quit
- - return
- - resume
- - pause
+ - abort
+ - break
+ - quit
+ - return
+ - resume
+ - pause
- - function
- - endfunction
+ - function
+ - endfunction
- - error
- - warning
+ - error
+ - warning
- - %F
- - %f
- - %T
- - %t
- - %e
- - %pi
- - %inf
- - %i
- - %z
- - %io
- - %s
- - %nan
- - $
- - %eps
- - MSDOS
+ - %F
+ - %f
+ - %T
+ - %t
+ - %e
+ - %pi
+ - %inf
+ - %i
+ - %z
+ - %io
+ - %s
+ - %nan
+ - $
+ - %eps
+ - MSDOS
- - zpell
- - zpch2
- - zpch1
- - zpbutt
- - zgrid
- - zeros
- - zeropen
- - ZCROSS_f
- - yulewalk
- - xtitle
- - xtape
- - xstringl
- - xstringb
- - xstring
- - xsetm
- - xsetech
- - xset
- - xselect
- - xsegs
- - xsave
- - xs2fig
- - xrpoly
- - xrects
- - xrect
- - xpolys
- - xpoly
- - xpause
- - xnumb
- - xname
- - x_message_modeless
- - x_message
- - x_mdialog
- - x_matrix
- - xload
- - xlfont
- - xinit
- - xinfo
- - xgrid
- - xgraduate
- - xgetmouse
- - xgetfile
- - xgetech
- - xget
- - xfrect
- - xfpolys
- - xfpoly
- - xfarcs
- - xfarc
- - xend
- - x_dialog
- - xdel
- - xclip
- - xclick
- - xclear
- - xclea
- - x_choose
- - x_choices
- - xchange
- - xbasr
- - xbasimp
- - xbasc
- - xaxis
- - xarrows
- - xarcs
- - xarc
- - WRITEC_f
- - write4b
- - write
- - writb
- - winsid
- - window
- - wigner
- - wiener
- - whos
- - who
- - whereis
- - whereami
- - where
- - what
- - wfir
- - WFILE_f
- - wavwrite
- - wavread
- - warning
- - WaitMsg
- - varn
- - varargout
- - varargin
- - user
- - unsetmenu
- - unobs
- - unix_x
- - unix_w
- - unix_s
- - unix_g
- - unix
- - unique
- - union
- - ulink
- - ui_observer
- - uint8
- - uint32
- - uint16
- - uimenu
- - uicontrol
- - typeof
- - typename
- - type
- - trzeros
- - triu
- - trisolve
- - tril
- - trianfml
- - trfmod
- - TRASH_f
- - translatepaths
- - trans_closure
- - trans
- - trace
- - toeplitz
- - tlist
- - TK_SetVar
- - TK_GetVar
- - TK_EvalStr
- - TK_EvalFile
- - titlepage
- - timer
- - time_id
- - TIME_f
- - tilda
- - tf2ss
- - tf2des
- - TEXT_f
- - texprint
- - testmatrix
- - tdinit
- - TCLSS_f
- - tanm
- - tanhm
- - tanh
- - tangent
- - TANBLK_f
- - tan
- - systmat
- - systems
- - system
- - syssize
- - syslin
- - sysfact-
- - sysdiag
- - sysconv
- - symbols
- - sylv
- - sylm
- - svplot
- - svd
- - sva
- - supernode
- - SUPER_f
- - sum
- - successors
- - subplot
- - subgraph
- - subf
- - strsubst
- - strong_con_nodes
- - strong_connex
- - stripblanks
- - strings
- - string
- - strindex
- - strcat
- - str2code
- - STOP_f
- - st_ility
- - st_deviation
- - startup
- - star
- - standard_output
- - standard_origin
- - standard_input
- - standard_draw
- - standard_define
- - stacksize
- - stabil
- - ssrand
- - ssprint
- - sskf
- - sscanf
- - ss2tf
- - ss2ss
- - ss2des
- - srkf
- - srfaur
- - squarewave
- - square
- - sqrtm
- - sqrt
- - sqroot
- - spzeros
- - sprintf
- - sprand
- - spones
- - SPLIT_f
- - split_edge
- - splin
- - spget
- - speye
- - specfact
- - spec
- - spcompack
- - spchol
- - sparse
- - spantwo
- - spanplus
- - spaninter
- - sp2adj
- - sound
- - sort
- - SOM_f
- - solve
- - %sn
- - smooth
- - sm2ss
- - sm2des
- - slash
- - size
- - sinm
- - sinhm
- - sinh
- - sincd
- - sinc
- - SINBLK_f
- - sin
- - simp_mode
- - simp
- - signm
- - sign
- - showprofile
- - show_nodes
- - show_graph
- - show_arcs
- - shortest_path
- - sgrid
- - Sgrayplot
- - Sfgrayplot
- - sfact
- - setscicosvars
- - setmenu
- - setfield
- - setbpt
- - set
- - sensi
- - SendMsg
- - semidef
- - semicolumn
- - semi
- - SELECT_f
- - secto3d
- - sd2sci
- - SCOPXY_f
- - SCOPE_f
- - scilink
- - ScilabEval
- - scilab
- - scifunc_block
- - scicos_model
- - scicos_menus
- - scicos_main
- - scicos_link
- - scicosim
- - scicos_graphics
- - scicos_cpr
- - scicos_block
- - scicos
- - sciargs
- - sci2map
- - sci2for
- - sci2exp
- - schur
- - scanf_conversion
- - scanf
- - scaling
- - SAWTOOTH_f
- - savewave
- - save_graph
- - save
- - SAT_f
- - SAMPLEHOLD_f
- - salesman
- - rtitr
- - rref
- - rpem
- - rowshuff
- - rowregul
- - rowinout
- - rowcompr
- - rowcomp
- - routh_t
- - round
- - rotate
- - roots
- - rlist
- - ric_desc
- - riccati
- - ricc
- - RFILE_f
- - residu
- - replot
- - repfreq
- - remezb
- - remez
- - RELAY_f
- - reglin
- - REGISTER_f
- - recur
- - real
- - readmps
- - READC_f
- - readc_
- - readb
- - read4b
- - read
- - rdivf
- - rcond
- - rational
- - rat
- - rank
- - range
- - randpencil
- - RAND_f
- - rand
- - quote
- - quit
- - quaskro
- - quapro
- - QUANT_f
- - qr
- - qassign
- - pwd
- - pvm_tidtohost
- - pvm_start
- - pvm_spawn_independent
- - pvm_spawn
- - pvm_set_timer
- - pvm_send
- - pvm_sci2f77
- - pvm_reduce
- - pvm_recv
- - pvm_probe
- - pvm_mytid
- - pvm_lvgroup
- - pvm_kill
- - pvm_joingroup
- - pvm_halt
- - pvm_gsize
- - pvm_get_timer
- - pvm_getinst
- - pvm_exit
- - pvm_error
- - pvm_delhosts
- - pvmd3
- - pvm_config
- - pvm_bufinfo
- - pvm_bcast
- - pvm_addhosts
- - pvm
- - pspect
- - psmall
- - projspec
- - projsl
- - proj
- - profile
- - PROD_f
- - prod
- - printing
- - printf_conversion
- - printf
- - print
- - predef
- - predecessors
- - prbs_a
- - ppol
- - power
- - POWBLK_f
- - POSTONEG_f
- - portrait
- - portr3d
- - poly
- - polfact
- - polarplot
- - polar
- - pol2tex
- - pol2str
- - pol2des
- - pmodulo
- - p_margin
- - plzr
- - plus
- - plotprofile
- - plot_graph
- - plotframe
- - plot3d3
- - plot3d2
- - plot3d1
- - plot3d
- - plot2d4
- - plot2d3
- - plot2d2
- - plot2d1
- - plot2d
- - plot
- - playsnd
- - pipe_network
- - pinv
- - phc
- - phasemag
- - pfss
- - pertrans
- - perfect_match
- - percent
- - penlaur
- - pencan
- - pen2ea
- - pdiv
- - pbig
- - path_2_nodes
- - part
- - parrot
- - parents
- - paramfplot2d
- - param3d1
- - param3d
- - overloading
- - OUT_f
- - orth
- - or
- - optim
- - ones
- - oldsave
- - oldload
- - ode_root
- - odeoptions
- - ode_discrete
- - odedi
- - odedc
- - ode
- - obsvss
- - obsv_mat
- - obs_gram
- - observer
- - obscont1
- - obscont
- - nyquist
- - numer
- - null
- - not
- - norm
- - noisegen
- - nodes_degrees
- - nodes_2_path
- - node_number
- - nnz
- - nlev
- - nf3d
- - newfun
- - newest
- - netwindows
- - netwindow
- - netclose
- - neighbors
- - nehari
- - NEGTOPOS_f
- - narsimul
- - names
- - MUX_f
- - mulf
- - mu2lin
- - mtlb_sparse
- - mtlb_save
- - mtlb_mode
- - mtlb_load
- - mtell
- - msscanf
- - msprintf
- - mseek
- - mscanf
- - mrfit
- - mputstr
- - mputl
- - mput
- - mps2linpro
- - mprintf
- - mopen
- - modulo
- - mode
- - mlist
- - min_weight_tree
- - minus
- - minss
- - minreal
- - min_qcost_flow
- - min_lcost_flow2
- - min_lcost_flow1
- - min_lcost_cflow
- - mini
- - MIN_f
- - mine
- - min
- - milk_drop
- - mgetstr
- - mgetl
- - mgeti
- - mget
- - mfscanf
- - mfprintf
- - mfile2sci
- - mfft
- - MFCLCK_f
- - metanet_sync
- - metanet
- - mesh2d
- - mese
- - meof
- - median
- - mean
- - mclose
- - MCLOCK_f
- - mclearerr
- - m_circle
- - maxi
- - max_flow
- - MAX_f
- - max_clique
- - max_cap_path
- - max
- - matrix
- - matrices
- - Matplot1
- - Matplot
- - mat_2_graph
- - markp2ss
- - mapsound
- - manedit
- - man
- - make_graph
- - macrovar
- - macro
- - macr2lst
- - macglov
- - lyap
- - lusolve
- - luget
- - lufact
- - ludel
- - lu
- - ltitr
- - lstcat
- - lsslist
- - lqr
- - lqg_ltr
- - lqg2stan
- - lqg
- - lqe
- - lotest
- - LOOKUP_f
- - logspace
- - logm
- - LOGBLK_f
- - log2
- - log10
- - log
- - locate
- - loadwave
- - load_graph
- - load
- - lmitool
- - lmisolver
- - list
- - linspace
- - linsolve
- - linpro
- - link
- - linfn
- - linf
- - lines
- - line_graph
- - lindquist
- - lin2mu
- - lin
- - lib
- - lgfft
- - lft
- - lex_sort
- - levin
- - lev
- - less
- - leqr
- - length
- - legends
- - left
- - leastsq
- - ldivf
- - ldiv
- - lcmdiag
- - lcm
- - lcf
- - lattp
- - lattn
- - lasterror
- - kroneck
- - kron
- - krac2
- - kpure
- - knapsack
- - keyboard
- - kernel
- - karmarkar
- - kalm
- - %k
- - jmat
- - isreal
- - isoview
- - isnan
- - isinf
- - isglobal
- - iserror
- - isdef
- - is_connex
- - invsyslin
- - invr
- - inv_coeff
- - INVBLK_f
- - inv
- - inttype
- - inttrap
- - intsplin
- - INTRPLBLK_f
- - INTRP2BLK_f
- - intppty
- - intl
- - intg
- - intersect
- - intersci
- - interpln
- - interp
- - integrate
- - INTEGRAL_f
- - intdec
- - intc
- - int8
- - int3d
- - int32
- - int2d
- - int16
- - int
- - insertion
- - input
- - IN_f
- - imrep2ss
- - impl
- - im_inv
- - imag
- - ilib_gen_Make
- - ilib_gen_loader
- - ilib_gen_gateway
- - ilib_for_link
- - ilib_compile
- - ilib_build
- - iirlp
- - iirgroup
- - iir
- - IFTHEL_f
- - ieee
- - iconvert
- - hypermatrices
- - hypermat
- - htrianr
- - hrmt
- - householder
- - hotcolormap
- - host
- - horner
- - h_norm
- - histplot
- - hist3d
- - h_inf_st
- - h_inf
- - hilb
- - hex2dec
- - hess
- - hermit
- - %helps
- - help
- - h_cl
- - havewindow
- - hat
- - hankelsv
- - hank
- - hamilton
- - HALT_f
- - halt
- - h2norm
- - gtild
- - gstacksize
- - gspec
- - gsort
- - gschur
- - group
- - gr_menu
- - grep
- - graypolarplot
- - grayplot
- - graycolormap
- - graph_union
- - graph_sum
- - graph_simp
- - graph_power
- - graph-list
- - Graphics
- - graph_diameter
- - graph_complement
- - graph_center
- - graph_2_mat
- - grand
- - graduate
- - gpeche
- - g_margin
- - G_make
- - global
- - glist
- - glever
- - givens
- - girth
- - gfrancis
- - gfare
- - getversion
- - getvalue
- - getsymbol
- - getscicosvars
- - getpid
- - GetMsg
- - getmark
- - getlinestyle
- - getio
- - get_function_path
- - getfont
- - getfield
- - getf
- - getenv
- - getdate
- - getd
- - getcwd
- - getcolor
- - getblocklabel
- - get
- - geom3d
- - GENSQR_f
- - GENSIN_f
- - gen_net
- - genmarkov
- - genlib
- - genfac3d
- - GENERIC_f
- - GENERAL_f
- - gcf
- - gcd
- - gcare
- - gammaln
- - gamma
- - gamitg
- - gainplot
- - GAIN_f
- - GAINBLK_f
- - fusee
- - funptr
- - funcprot
- - fun2string
- - fullrfk
- - fullrf
- - full
- - fstair
- - fstabst
- - fspecg
- - fsolve
- - fsfirlin
- - fscanfMat
- - fscanf
- - frmag
- - frfit
- - frexp
- - freson
- - freq
- - frep2tf
- - fprintfMat
- - fprintf
- - fplot3d1
- - fplot3d
- - fplot2d
- - fourplan
- - fort
- - formatman
- - format
- - flts
- - floor
- - fix
- - fit_dat
- - find_path
- - findobj
- - findm
- - find_freq
- - find
- - filter
- - fileinfo
- - file
- - figure
- - fgrayplot
- - fft
- - ffilt
- - feval
- - feedback
- - fec
- - fcontour2d
- - fcontour
- - fchamp
- - faurre
- - factors
- - fac3d
- - eye
- - extraction
- - external
- - expm
- - EXPBLK_f
- - exp
- - exit
- - exists
- - execstr
- - ExecScilab
- - ExeclScilab
- - ExecAppli
- - exec
- - excel2sci
- - Example
- - EVTGEN_f
- - EVTDLY_f
- - evstr
- - EVENTSCOPE_f
- - evans
- - eval3dp
- - eval3d
- - eval
- - error
- - errclear
- - errcatch
- - errbar
- - erfcx
- - erfc
- - erf
- - ereduc
- - equil1
- - equil
- - equal
- - eqiir
- - eqfir
- - emptystr
- - empty
- - ell1mag
- - eigenmarkov
- - edit_curv
- - edit
- - edge_number
- - dtsi
- - dt_ility
- - dsimul
- - dscr
- - driver
- - drawaxis
- - dragrect
- - double
- - dot
- - DLSS_f
- - DLR_f
- - DLRADAPT_f
- - dlgamma
- - dispfile
- - dispbpt
- - disp
- - diophant
- - diary
- - diag
- - dhnorm
- - dft
- - detr
- - determ
- - det
- - DestroyLink
- - des2tf
- - des2ss
- - derivative-
- - derivat
- - denom
- - DEMUX_f
- - demos
- - delmenu
- - delip
- - delete_nodes
- - delete_arcs
- - delbpt
- - DELAYV_f
- - DELAY_f
- - degree
- - deff
- - dec2hex
- - debug
- - ddp
- - dcf
- - dbphi
- - date
- - datafit
- - dassl
- - dasrt
- - czt
- - cycle_basis
- - CURV_f
- - curblock
- - cumsum
- - cumprod
- - ctr_gram
- - cspect
- - csim
- - CreateLink
- - cothm
- - coth
- - cotg
- - cosm
- - coshm
- - cosh
- - COSBLK_f
- - cos
- - corr
- - copfac
- - convstr
- - convol
- - convex_hull
- - contrss
- - contract_edge
- - contr
- - contourf
- - contour2di
- - contour2d
- - contour
- - cont_mat
- - cont_frm
- - CONST_f
- - con_nodes
- - connex
- - conj
- - cond
- - companion
- - comp
- - Communications
- - colregul
- - colormap
- - colon
- - colnew
- - colinout
- - colcompr
- - colcomp
- - coffg
- - coff
- - coeff
- - code2str
- - cmndred
- - cmb_lin
- - CLSS_f
- - cls2dls
- - CLR_f
- - close
- - CLOCK_f
- - CLKSPLIT_f
- - CLKSOMV_f
- - CLKSOM_f
- - CLKOUTV_f
- - CLKOUT_f
- - CLKINV_f
- - CLKIN_f
- - c_link
- - CLINDUMMY_f
- - clearglobal
- - clearfun
- - clear
- - clean
- - classmarkov
- - circuit
- - chsolve
- - chol
- - chfact
- - chepol
- - check_graph
- - cheb2mag
- - cheb1mag
- - chdir
- - chart
- - champ1
- - champ
- - chaintest
- - chain_struct
- - cepstrum
- - ceil
- - cdft
- - cdfpoi
- - cdfnor
- - cdfnbn
- - cdfgam
- - cdffnc
- - cdff
- - cdfchn
- - cdfchi
- - cdfbin
- - cdfbet
- - ccontrg
- - casc
- - canon
- - call
- - calfrq
- - calerf
- - cainv
- - bvode
- - buttmag
- - bstap
- - boucle
- - boolean
- - bool2s
- - bode
- - bloc2ss
- - bloc2exp
- - black
- - binomial
- - bilin
- - BIGSOM_f
- - bifish
- - bezout
- - best_match
- - bessely
- - besselk
- - besselj
- - besseli
- - bdiag
- - bandwr
- - balreal
- - balanc
- - backslash
- - auwrite
- - auread
- - augment
- - atanm
- - atanhm
- - atanh
- - atan
- - %asn
- - asinm
- - asinhm
- - asinh
- - asin
- - ascii
- - articul
- - artest
- - arsimul
- - armax1
- - armax
- - armac
- - arma2p
- - arma
- - arl2
- - arhnk
- - argn
- - arc_number
- - arc_graph
- - apropos
- - ans
- - ANIMXY_f
- - ANDLOG_f
- - and
- - analyze
- - analpf
- - amell
- - alufunctions
- - AFFICH_f
- - aff2ab
- - adj_lists
- - adj2sp
- - add_node
- - addmenu
- - addinter
- - addf
- - add_edge
- - addcolor
- - AdCommunications
- - acosm
- - acoshm
- - acosh
- - acos
- - ABSBLK_f
- - abs
- - abinv
- - abcd
+ - zpell
+ - zpch2
+ - zpch1
+ - zpbutt
+ - zgrid
+ - zeros
+ - zeropen
+ - ZCROSS_f
+ - yulewalk
+ - xtitle
+ - xtape
+ - xstringl
+ - xstringb
+ - xstring
+ - xsetm
+ - xsetech
+ - xset
+ - xselect
+ - xsegs
+ - xsave
+ - xs2fig
+ - xrpoly
+ - xrects
+ - xrect
+ - xpolys
+ - xpoly
+ - xpause
+ - xnumb
+ - xname
+ - x_message_modeless
+ - x_message
+ - x_mdialog
+ - x_matrix
+ - xload
+ - xlfont
+ - xinit
+ - xinfo
+ - xgrid
+ - xgraduate
+ - xgetmouse
+ - xgetfile
+ - xgetech
+ - xget
+ - xfrect
+ - xfpolys
+ - xfpoly
+ - xfarcs
+ - xfarc
+ - xend
+ - x_dialog
+ - xdel
+ - xclip
+ - xclick
+ - xclear
+ - xclea
+ - x_choose
+ - x_choices
+ - xchange
+ - xbasr
+ - xbasimp
+ - xbasc
+ - xaxis
+ - xarrows
+ - xarcs
+ - xarc
+ - WRITEC_f
+ - write4b
+ - write
+ - writb
+ - winsid
+ - window
+ - wigner
+ - wiener
+ - whos
+ - who
+ - whereis
+ - whereami
+ - where
+ - what
+ - wfir
+ - WFILE_f
+ - wavwrite
+ - wavread
+ - warning
+ - WaitMsg
+ - varn
+ - varargout
+ - varargin
+ - user
+ - unsetmenu
+ - unobs
+ - unix_x
+ - unix_w
+ - unix_s
+ - unix_g
+ - unix
+ - unique
+ - union
+ - ulink
+ - ui_observer
+ - uint8
+ - uint32
+ - uint16
+ - uimenu
+ - uicontrol
+ - typeof
+ - typename
+ - type
+ - trzeros
+ - triu
+ - trisolve
+ - tril
+ - trianfml
+ - trfmod
+ - TRASH_f
+ - translatepaths
+ - trans_closure
+ - trans
+ - trace
+ - toeplitz
+ - tlist
+ - TK_SetVar
+ - TK_GetVar
+ - TK_EvalStr
+ - TK_EvalFile
+ - titlepage
+ - timer
+ - time_id
+ - TIME_f
+ - tilda
+ - tf2ss
+ - tf2des
+ - TEXT_f
+ - texprint
+ - testmatrix
+ - tdinit
+ - TCLSS_f
+ - tanm
+ - tanhm
+ - tanh
+ - tangent
+ - TANBLK_f
+ - tan
+ - systmat
+ - systems
+ - system
+ - syssize
+ - syslin
+ - sysfact-
+ - sysdiag
+ - sysconv
+ - symbols
+ - sylv
+ - sylm
+ - svplot
+ - svd
+ - sva
+ - supernode
+ - SUPER_f
+ - sum
+ - successors
+ - subplot
+ - subgraph
+ - subf
+ - strsubst
+ - strong_con_nodes
+ - strong_connex
+ - stripblanks
+ - strings
+ - string
+ - strindex
+ - strcat
+ - str2code
+ - STOP_f
+ - st_ility
+ - st_deviation
+ - startup
+ - star
+ - standard_output
+ - standard_origin
+ - standard_input
+ - standard_draw
+ - standard_define
+ - stacksize
+ - stabil
+ - ssrand
+ - ssprint
+ - sskf
+ - sscanf
+ - ss2tf
+ - ss2ss
+ - ss2des
+ - srkf
+ - srfaur
+ - squarewave
+ - square
+ - sqrtm
+ - sqrt
+ - sqroot
+ - spzeros
+ - sprintf
+ - sprand
+ - spones
+ - SPLIT_f
+ - split_edge
+ - splin
+ - spget
+ - speye
+ - specfact
+ - spec
+ - spcompack
+ - spchol
+ - sparse
+ - spantwo
+ - spanplus
+ - spaninter
+ - sp2adj
+ - sound
+ - sort
+ - SOM_f
+ - solve
+ - %sn
+ - smooth
+ - sm2ss
+ - sm2des
+ - slash
+ - size
+ - sinm
+ - sinhm
+ - sinh
+ - sincd
+ - sinc
+ - SINBLK_f
+ - sin
+ - simp_mode
+ - simp
+ - signm
+ - sign
+ - showprofile
+ - show_nodes
+ - show_graph
+ - show_arcs
+ - shortest_path
+ - sgrid
+ - Sgrayplot
+ - Sfgrayplot
+ - sfact
+ - setscicosvars
+ - setmenu
+ - setfield
+ - setbpt
+ - set
+ - sensi
+ - SendMsg
+ - semidef
+ - semicolumn
+ - semi
+ - SELECT_f
+ - secto3d
+ - sd2sci
+ - SCOPXY_f
+ - SCOPE_f
+ - scilink
+ - ScilabEval
+ - scilab
+ - scifunc_block
+ - scicos_model
+ - scicos_menus
+ - scicos_main
+ - scicos_link
+ - scicosim
+ - scicos_graphics
+ - scicos_cpr
+ - scicos_block
+ - scicos
+ - sciargs
+ - sci2map
+ - sci2for
+ - sci2exp
+ - schur
+ - scanf_conversion
+ - scanf
+ - scaling
+ - SAWTOOTH_f
+ - savewave
+ - save_graph
+ - save
+ - SAT_f
+ - SAMPLEHOLD_f
+ - salesman
+ - rtitr
+ - rref
+ - rpem
+ - rowshuff
+ - rowregul
+ - rowinout
+ - rowcompr
+ - rowcomp
+ - routh_t
+ - round
+ - rotate
+ - roots
+ - rlist
+ - ric_desc
+ - riccati
+ - ricc
+ - RFILE_f
+ - residu
+ - replot
+ - repfreq
+ - remezb
+ - remez
+ - RELAY_f
+ - reglin
+ - REGISTER_f
+ - recur
+ - real
+ - readmps
+ - READC_f
+ - readc_
+ - readb
+ - read4b
+ - read
+ - rdivf
+ - rcond
+ - rational
+ - rat
+ - rank
+ - range
+ - randpencil
+ - RAND_f
+ - rand
+ - quote
+ - quit
+ - quaskro
+ - quapro
+ - QUANT_f
+ - qr
+ - qassign
+ - pwd
+ - pvm_tidtohost
+ - pvm_start
+ - pvm_spawn_independent
+ - pvm_spawn
+ - pvm_set_timer
+ - pvm_send
+ - pvm_sci2f77
+ - pvm_reduce
+ - pvm_recv
+ - pvm_probe
+ - pvm_mytid
+ - pvm_lvgroup
+ - pvm_kill
+ - pvm_joingroup
+ - pvm_halt
+ - pvm_gsize
+ - pvm_get_timer
+ - pvm_getinst
+ - pvm_exit
+ - pvm_error
+ - pvm_delhosts
+ - pvmd3
+ - pvm_config
+ - pvm_bufinfo
+ - pvm_bcast
+ - pvm_addhosts
+ - pvm
+ - pspect
+ - psmall
+ - projspec
+ - projsl
+ - proj
+ - profile
+ - PROD_f
+ - prod
+ - printing
+ - printf_conversion
+ - printf
+ - print
+ - predef
+ - predecessors
+ - prbs_a
+ - ppol
+ - power
+ - POWBLK_f
+ - POSTONEG_f
+ - portrait
+ - portr3d
+ - poly
+ - polfact
+ - polarplot
+ - polar
+ - pol2tex
+ - pol2str
+ - pol2des
+ - pmodulo
+ - p_margin
+ - plzr
+ - plus
+ - plotprofile
+ - plot_graph
+ - plotframe
+ - plot3d3
+ - plot3d2
+ - plot3d1
+ - plot3d
+ - plot2d4
+ - plot2d3
+ - plot2d2
+ - plot2d1
+ - plot2d
+ - plot
+ - playsnd
+ - pipe_network
+ - pinv
+ - phc
+ - phasemag
+ - pfss
+ - pertrans
+ - perfect_match
+ - percent
+ - penlaur
+ - pencan
+ - pen2ea
+ - pdiv
+ - pbig
+ - path_2_nodes
+ - part
+ - parrot
+ - parents
+ - paramfplot2d
+ - param3d1
+ - param3d
+ - overloading
+ - OUT_f
+ - orth
+ - or
+ - optim
+ - ones
+ - oldsave
+ - oldload
+ - ode_root
+ - odeoptions
+ - ode_discrete
+ - odedi
+ - odedc
+ - ode
+ - obsvss
+ - obsv_mat
+ - obs_gram
+ - observer
+ - obscont1
+ - obscont
+ - nyquist
+ - numer
+ - null
+ - not
+ - norm
+ - noisegen
+ - nodes_degrees
+ - nodes_2_path
+ - node_number
+ - nnz
+ - nlev
+ - nf3d
+ - newfun
+ - newest
+ - netwindows
+ - netwindow
+ - netclose
+ - neighbors
+ - nehari
+ - NEGTOPOS_f
+ - narsimul
+ - names
+ - MUX_f
+ - mulf
+ - mu2lin
+ - mtlb_sparse
+ - mtlb_save
+ - mtlb_mode
+ - mtlb_load
+ - mtell
+ - msscanf
+ - msprintf
+ - mseek
+ - mscanf
+ - mrfit
+ - mputstr
+ - mputl
+ - mput
+ - mps2linpro
+ - mprintf
+ - mopen
+ - modulo
+ - mode
+ - mlist
+ - min_weight_tree
+ - minus
+ - minss
+ - minreal
+ - min_qcost_flow
+ - min_lcost_flow2
+ - min_lcost_flow1
+ - min_lcost_cflow
+ - mini
+ - MIN_f
+ - mine
+ - min
+ - milk_drop
+ - mgetstr
+ - mgetl
+ - mgeti
+ - mget
+ - mfscanf
+ - mfprintf
+ - mfile2sci
+ - mfft
+ - MFCLCK_f
+ - metanet_sync
+ - metanet
+ - mesh2d
+ - mese
+ - meof
+ - median
+ - mean
+ - mclose
+ - MCLOCK_f
+ - mclearerr
+ - m_circle
+ - maxi
+ - max_flow
+ - MAX_f
+ - max_clique
+ - max_cap_path
+ - max
+ - matrix
+ - matrices
+ - Matplot1
+ - Matplot
+ - mat_2_graph
+ - markp2ss
+ - mapsound
+ - manedit
+ - man
+ - make_graph
+ - macrovar
+ - macro
+ - macr2lst
+ - macglov
+ - lyap
+ - lusolve
+ - luget
+ - lufact
+ - ludel
+ - lu
+ - ltitr
+ - lstcat
+ - lsslist
+ - lqr
+ - lqg_ltr
+ - lqg2stan
+ - lqg
+ - lqe
+ - lotest
+ - LOOKUP_f
+ - logspace
+ - logm
+ - LOGBLK_f
+ - log2
+ - log10
+ - log
+ - locate
+ - loadwave
+ - load_graph
+ - load
+ - lmitool
+ - lmisolver
+ - list
+ - linspace
+ - linsolve
+ - linpro
+ - link
+ - linfn
+ - linf
+ - lines
+ - line_graph
+ - lindquist
+ - lin2mu
+ - lin
+ - lib
+ - lgfft
+ - lft
+ - lex_sort
+ - levin
+ - lev
+ - less
+ - leqr
+ - length
+ - legends
+ - left
+ - leastsq
+ - ldivf
+ - ldiv
+ - lcmdiag
+ - lcm
+ - lcf
+ - lattp
+ - lattn
+ - lasterror
+ - kroneck
+ - kron
+ - krac2
+ - kpure
+ - knapsack
+ - keyboard
+ - kernel
+ - karmarkar
+ - kalm
+ - %k
+ - jmat
+ - isreal
+ - isoview
+ - isnan
+ - isinf
+ - isglobal
+ - iserror
+ - isdef
+ - is_connex
+ - invsyslin
+ - invr
+ - inv_coeff
+ - INVBLK_f
+ - inv
+ - inttype
+ - inttrap
+ - intsplin
+ - INTRPLBLK_f
+ - INTRP2BLK_f
+ - intppty
+ - intl
+ - intg
+ - intersect
+ - intersci
+ - interpln
+ - interp
+ - integrate
+ - INTEGRAL_f
+ - intdec
+ - intc
+ - int8
+ - int3d
+ - int32
+ - int2d
+ - int16
+ - int
+ - insertion
+ - input
+ - IN_f
+ - imrep2ss
+ - impl
+ - im_inv
+ - imag
+ - ilib_gen_Make
+ - ilib_gen_loader
+ - ilib_gen_gateway
+ - ilib_for_link
+ - ilib_compile
+ - ilib_build
+ - iirlp
+ - iirgroup
+ - iir
+ - IFTHEL_f
+ - ieee
+ - iconvert
+ - hypermatrices
+ - hypermat
+ - htrianr
+ - hrmt
+ - householder
+ - hotcolormap
+ - host
+ - horner
+ - h_norm
+ - histplot
+ - hist3d
+ - h_inf_st
+ - h_inf
+ - hilb
+ - hex2dec
+ - hess
+ - hermit
+ - %helps
+ - help
+ - h_cl
+ - havewindow
+ - hat
+ - hankelsv
+ - hank
+ - hamilton
+ - HALT_f
+ - halt
+ - h2norm
+ - gtild
+ - gstacksize
+ - gspec
+ - gsort
+ - gschur
+ - group
+ - gr_menu
+ - grep
+ - graypolarplot
+ - grayplot
+ - graycolormap
+ - graph_union
+ - graph_sum
+ - graph_simp
+ - graph_power
+ - graph-list
+ - Graphics
+ - graph_diameter
+ - graph_complement
+ - graph_center
+ - graph_2_mat
+ - grand
+ - graduate
+ - gpeche
+ - g_margin
+ - G_make
+ - global
+ - glist
+ - glever
+ - givens
+ - girth
+ - gfrancis
+ - gfare
+ - getversion
+ - getvalue
+ - getsymbol
+ - getscicosvars
+ - getpid
+ - GetMsg
+ - getmark
+ - getlinestyle
+ - getio
+ - get_function_path
+ - getfont
+ - getfield
+ - getf
+ - getenv
+ - getdate
+ - getd
+ - getcwd
+ - getcolor
+ - getblocklabel
+ - get
+ - geom3d
+ - GENSQR_f
+ - GENSIN_f
+ - gen_net
+ - genmarkov
+ - genlib
+ - genfac3d
+ - GENERIC_f
+ - GENERAL_f
+ - gcf
+ - gcd
+ - gcare
+ - gammaln
+ - gamma
+ - gamitg
+ - gainplot
+ - GAIN_f
+ - GAINBLK_f
+ - fusee
+ - funptr
+ - funcprot
+ - fun2string
+ - fullrfk
+ - fullrf
+ - full
+ - fstair
+ - fstabst
+ - fspecg
+ - fsolve
+ - fsfirlin
+ - fscanfMat
+ - fscanf
+ - frmag
+ - frfit
+ - frexp
+ - freson
+ - freq
+ - frep2tf
+ - fprintfMat
+ - fprintf
+ - fplot3d1
+ - fplot3d
+ - fplot2d
+ - fourplan
+ - fort
+ - formatman
+ - format
+ - flts
+ - floor
+ - fix
+ - fit_dat
+ - find_path
+ - findobj
+ - findm
+ - find_freq
+ - find
+ - filter
+ - fileinfo
+ - file
+ - figure
+ - fgrayplot
+ - fft
+ - ffilt
+ - feval
+ - feedback
+ - fec
+ - fcontour2d
+ - fcontour
+ - fchamp
+ - faurre
+ - factors
+ - fac3d
+ - eye
+ - extraction
+ - external
+ - expm
+ - EXPBLK_f
+ - exp
+ - exit
+ - exists
+ - execstr
+ - ExecScilab
+ - ExeclScilab
+ - ExecAppli
+ - exec
+ - excel2sci
+ - Example
+ - EVTGEN_f
+ - EVTDLY_f
+ - evstr
+ - EVENTSCOPE_f
+ - evans
+ - eval3dp
+ - eval3d
+ - eval
+ - error
+ - errclear
+ - errcatch
+ - errbar
+ - erfcx
+ - erfc
+ - erf
+ - ereduc
+ - equil1
+ - equil
+ - equal
+ - eqiir
+ - eqfir
+ - emptystr
+ - empty
+ - ell1mag
+ - eigenmarkov
+ - edit_curv
+ - edit
+ - edge_number
+ - dtsi
+ - dt_ility
+ - dsimul
+ - dscr
+ - driver
+ - drawaxis
+ - dragrect
+ - double
+ - dot
+ - DLSS_f
+ - DLR_f
+ - DLRADAPT_f
+ - dlgamma
+ - dispfile
+ - dispbpt
+ - disp
+ - diophant
+ - diary
+ - diag
+ - dhnorm
+ - dft
+ - detr
+ - determ
+ - det
+ - DestroyLink
+ - des2tf
+ - des2ss
+ - derivative-
+ - derivat
+ - denom
+ - DEMUX_f
+ - demos
+ - delmenu
+ - delip
+ - delete_nodes
+ - delete_arcs
+ - delbpt
+ - DELAYV_f
+ - DELAY_f
+ - degree
+ - deff
+ - dec2hex
+ - debug
+ - ddp
+ - dcf
+ - dbphi
+ - date
+ - datafit
+ - dassl
+ - dasrt
+ - czt
+ - cycle_basis
+ - CURV_f
+ - curblock
+ - cumsum
+ - cumprod
+ - ctr_gram
+ - cspect
+ - csim
+ - CreateLink
+ - cothm
+ - coth
+ - cotg
+ - cosm
+ - coshm
+ - cosh
+ - COSBLK_f
+ - cos
+ - corr
+ - copfac
+ - convstr
+ - convol
+ - convex_hull
+ - contrss
+ - contract_edge
+ - contr
+ - contourf
+ - contour2di
+ - contour2d
+ - contour
+ - cont_mat
+ - cont_frm
+ - CONST_f
+ - con_nodes
+ - connex
+ - conj
+ - cond
+ - companion
+ - comp
+ - Communications
+ - colregul
+ - colormap
+ - colon
+ - colnew
+ - colinout
+ - colcompr
+ - colcomp
+ - coffg
+ - coff
+ - coeff
+ - code2str
+ - cmndred
+ - cmb_lin
+ - CLSS_f
+ - cls2dls
+ - CLR_f
+ - close
+ - CLOCK_f
+ - CLKSPLIT_f
+ - CLKSOMV_f
+ - CLKSOM_f
+ - CLKOUTV_f
+ - CLKOUT_f
+ - CLKINV_f
+ - CLKIN_f
+ - c_link
+ - CLINDUMMY_f
+ - clearglobal
+ - clearfun
+ - clear
+ - clean
+ - classmarkov
+ - circuit
+ - chsolve
+ - chol
+ - chfact
+ - chepol
+ - check_graph
+ - cheb2mag
+ - cheb1mag
+ - chdir
+ - chart
+ - champ1
+ - champ
+ - chaintest
+ - chain_struct
+ - cepstrum
+ - ceil
+ - cdft
+ - cdfpoi
+ - cdfnor
+ - cdfnbn
+ - cdfgam
+ - cdffnc
+ - cdff
+ - cdfchn
+ - cdfchi
+ - cdfbin
+ - cdfbet
+ - ccontrg
+ - casc
+ - canon
+ - call
+ - calfrq
+ - calerf
+ - cainv
+ - bvode
+ - buttmag
+ - bstap
+ - boucle
+ - boolean
+ - bool2s
+ - bode
+ - bloc2ss
+ - bloc2exp
+ - black
+ - binomial
+ - bilin
+ - BIGSOM_f
+ - bifish
+ - bezout
+ - best_match
+ - bessely
+ - besselk
+ - besselj
+ - besseli
+ - bdiag
+ - bandwr
+ - balreal
+ - balanc
+ - backslash
+ - auwrite
+ - auread
+ - augment
+ - atanm
+ - atanhm
+ - atanh
+ - atan
+ - %asn
+ - asinm
+ - asinhm
+ - asinh
+ - asin
+ - ascii
+ - articul
+ - artest
+ - arsimul
+ - armax1
+ - armax
+ - armac
+ - arma2p
+ - arma
+ - arl2
+ - arhnk
+ - argn
+ - arc_number
+ - arc_graph
+ - apropos
+ - ans
+ - ANIMXY_f
+ - ANDLOG_f
+ - and
+ - analyze
+ - analpf
+ - amell
+ - alufunctions
+ - AFFICH_f
+ - aff2ab
+ - adj_lists
+ - adj2sp
+ - add_node
+ - addmenu
+ - addinter
+ - addf
+ - add_edge
+ - addcolor
+ - AdCommunications
+ - acosm
+ - acoshm
+ - acosh
+ - acos
+ - ABSBLK_f
+ - abs
+ - abinv
+ - abcd
@@ -1162,7 +1162,7 @@
-
+
diff --git a/kate/data/sieve.xml b/kate/data/sieve.xml
index c86e3b32b..d4914ddf8 100644
--- a/kate/data/sieve.xml
+++ b/kate/data/sieve.xml
@@ -1,19 +1,34 @@
-
+
- - require
- - if
- - elsif
- - else
- - discard
- - stop
- - fileinto
- - keep
- - reject
- - redirect
+ - require
+ - if
+ - elsif
+ - else
+ - discard
+ - stop
+ - fileinto
+ - keep
+ - reject
+ - redirect
+ - setflag
+ - addflag
+ - removeflag
+ - hasflag
+ - deleteheader
+ - addheader
+ - notify
+ - set
+ - return
+ - vacation
+ - enclose
+ - replace
+ - include
+ - global
+ - foreverypart
@@ -47,14 +62,14 @@
-
+
-
-
-
+
+
+
diff --git a/kate/data/sisu.xml b/kate/data/sisu.xml
index 8c8ba56f0..837685557 100644
--- a/kate/data/sisu.xml
+++ b/kate/data/sisu.xml
@@ -1,10 +1,10 @@
-
+
- - class
- - const
+ - class
+ - const
@@ -12,119 +12,118 @@
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
-
+
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
@@ -142,10 +141,8 @@
-
-
diff --git a/kate/data/sml.xml b/kate/data/sml.xml
index 3e98f7570..7d63002ec 100644
--- a/kate/data/sml.xml
+++ b/kate/data/sml.xml
@@ -1,67 +1,67 @@
-
+
- - abstype
- - and
- - andalso
- - as
- - case
- - do
- - datatype
- - else
- - end
- - eqtype
- - exception
- - false
- - fn
- - fun
- - functor
- - handle
- - if
- - in
- - include
- - infix
- - infixr
- - let
- - local
- - nonfix
- - of
- - op
- - open
- - orelse
- - raise
- - rec
- - sharing
- - sig
- - signature
- - struct
- - structure
- - then
- - true
- - type
- - val
- - where
- - with
- - withtype
- - while
+ - abstype
+ - and
+ - andalso
+ - as
+ - case
+ - do
+ - datatype
+ - else
+ - end
+ - eqtype
+ - exception
+ - false
+ - fn
+ - fun
+ - functor
+ - handle
+ - if
+ - in
+ - include
+ - infix
+ - infixr
+ - let
+ - local
+ - nonfix
+ - of
+ - op
+ - open
+ - orelse
+ - raise
+ - rec
+ - sharing
+ - sig
+ - signature
+ - struct
+ - structure
+ - then
+ - true
+ - type
+ - val
+ - where
+ - with
+ - withtype
+ - while
- - unit
- - int
- - real
- - char
- - string
- - substring
- - word
- - ref
- - array
- - vector
- - bool
- - list
- - option
- - order
+ - unit
+ - int
+ - real
+ - char
+ - string
+ - substring
+ - word
+ - ref
+ - array
+ - vector
+ - bool
+ - list
+ - option
+ - order
@@ -69,12 +69,13 @@
-
-
-
+
+
+
+
@@ -91,7 +92,6 @@
-
diff --git a/kate/data/sql-oracle.xml b/kate/data/sql-oracle.xml
index dd7245da6..9e4326b0a 100644
--- a/kate/data/sql-oracle.xml
+++ b/kate/data/sql-oracle.xml
@@ -1,2115 +1,2048 @@
-
+
- - A
- - ABORT
- - ABS
- - ACCESS
- - ACCESSED
- - ACCOUNT
- - ACOS
- - ACTIVATE
- - ACTIVE_COMPONENT
- - ACTIVE_FUNCTION
- - ACTIVE_TAG
- - ADD
- - ADD_COLUMN
- - ADD_GROUP
- - ADD_MONTHS
- - ADJ_DATE
- - ADMIN
- - ADMINISTER
- - ADMINISTRATOR
- - ADVISE
- - ADVISOR
- - AFTER
- - ALIAS
- - ALL
- - ALLOCATE
- - ALLOW
- - ALL_ROWS
- - ALTER
- - ALWAYS
- - ANALYZE
- - ANCILLARY
- - AND
- - AND_EQUAL
- - ANTIJOIN
- - ANY
- - ANYSCHEMA
- - APPEND
- - APPENDCHILDXML
- - APPEND_VALUES
- - APPLY
- - ARCHIVE
- - ARCHIVELOG
- - ARRAY
- - AS
- - ASC
- - ASCII
- - ASCIISTR
- - ASIN
- - ASSEMBLY
- - ASSOCIATE
- - ASYNC
- - ASYNCHRONOUS
- - AT
- - ATAN
- - ATAN2
- - ATTRIBUTE
- - ATTRIBUTES
- - AUDIT
- - AUTHENTICATED
- - AUTHENTICATION
- - AUTHID
- - AUTHORIZATION
- - AUTO
- - AUTOALLOCATE
- - AUTOEXTEND
- - AUTOMATIC
- - AVAILABILITY
- - AVG
- - BACKUP
- - BASIC
- - BASICFILE
- - BATCH
- - BECOME
- - BEFORE
- - BEGIN
- - BEGIN_OUTLINE_DATA
- - BEHALF
- - BETWEEN
- - BFILE
- - BFILENAME
- - BIGFILE
- - BINARY
- - BINARY_DOUBLE
- - BINARY_DOUBLE_INFINITY
- - BINARY_DOUBLE_NAN
- - BINARY_FLOAT
- - BINARY_FLOAT_INFINITY
- - BINARY_FLOAT_NAN
- - BIND_AWARE
- - BINDING
- - BIN_TO_NUM
- - BITAND
- - BITMAP
- - BITMAPS
- - BITMAP_TREE
- - BITS
- - BLOB
- - BLOCK
- - BLOCK_RANGE
- - BLOCKS
- - BLOCKSIZE
- - BODY
- - BOTH
- - BOUND
- - BRANCH
- - BREADTH
- - BROADCAST
- - BUFFER
- - BUFFER_CACHE
- - BUFFER_POOL
- - BUILD
- - BULK
- - BY
- - BYPASS_RECURSIVE_CHECK
- - BYPASS_UJVC
- - BYTE
- - CACHE
- - CACHE_CB
- - CACHE_INSTANCES
- - CACHE_TEMP_TABLE
- - CALL
- - CANCEL
- - CARDINALITY
- - CASCADE
- - CASE
- - CAST
- - CATEGORY
- - CEIL
- - CELL_FLASH_CACHE
- - CERTIFICATE
- - CFILE
- - CHAINED
- - CHANGE
- - CHANGE_DUPKEY_ERROR_INDEX
- - CHAR
- - CHARACTER
- - CHAR_CS
- - CHARTOROWID
- - CHECK
- - CHECK_ACL_REWRITE
- - CHECKPOINT
- - CHILD
- - CHOOSE
- - CHR
- - CHUNK
- - CLASS
- - CLEAR
- - CLOB
- - CLONE
- - CLOSE
- - CLOSE_CACHED_OPEN_CURSORS
- - CLUSTER
- - CLUSTER_ID
- - CLUSTERING_FACTOR
- - CLUSTER_PROBABILITY
- - CLUSTER_SET
- - COALESCE
- - COALESCE_SQ
- - COARSE
- - CO_AUTH_IND
- - COLD
- - COLLECT
- - COLUMN
- - COLUMNAR
- - COLUMN_AUTH_INDICATOR
- - COLUMNS
- - COLUMN_STATS
- - COLUMN_VALUE
- - COMMENT
- - COMMIT
- - COMMITTED
- - COMPACT
- - COMPATIBILITY
- - COMPILE
- - COMPLETE
- - COMPLIANCE
- - COMPOSE
- - COMPOSITE
- - COMPOSITE_LIMIT
- - COMPOUND
- - COMPRESS
- - COMPUTE
- - CONCAT
- - CONFIRM
- - CONFORMING
- - CONNECT
- - CONNECT_BY_CB_WHR_ONLY
- - CONNECT_BY_COMBINE_SW
- - CONNECT_BY_COST_BASED
- - CONNECT_BY_ELIM_DUPS
- - CONNECT_BY_FILTERING
- - CONNECT_BY_ISCYCLE
- - CONNECT_BY_ISLEAF
- - CONNECT_BY_ROOT
- - CONNECT_TIME
- - CONSIDER
- - CONSISTENT
- - CONST
- - CONSTANT
- - CONSTRAINT
- - CONSTRAINTS
- - CONTAINER
- - CONTENT
- - CONTENTS
- - CONTEXT
- - CONTINUE
- - CONTROLFILE
- - CONVERT
- - CORR
- - CORR_K
- - CORR_S
- - CORRUPTION
- - CORRUPT_XID
- - CORRUPT_XID_ALL
- - COS
- - COSH
- - COST
- - COST_XML_QUERY_REWRITE
- - COUNT
- - COVAR_POP
- - COVAR_SAMP
- - CPU_COSTING
- - CPU_PER_CALL
- - CPU_PER_SESSION
- - CRASH
- - CREATE
- - CREATE_STORED_OUTLINES
- - CREATION
- - CROSS
- - CROSSEDITION
- - CSCONVERT
- - CUBE
- - CUBE_GB
- - CUME_DIST
- - CUME_DISTM
- - CURRENT
- - CURRENT_DATE
- - CURRENT_SCHEMA
- - CURRENT_TIME
- - CURRENT_TIMESTAMP
- - CURRENT_USER
- - CURRENTV
- - CURSOR
- - CURSOR_SHARING_EXACT
- - CURSOR_SPECIFIC_SEGMENT
- - CV
- - CYCLE
- - D
- - DANGLING
- - DATA
- - DATABASE
- - DATABASE_DEFAULT
- - DATAFILE
- - DATAFILES
- - DATAOBJNO
- - DATAOBJ_TO_PARTITION
- - DATE
- - DATE_MODE
- - DAY
- - DBA
- - DBA_RECYCLEBIN
- - DBMS_STATS
- - DB_ROLE_CHANGE
- - DBTIMEZONE
- - DB_VERSION
- - DDL
- - DEALLOCATE
- - DEBUG
- - DEBUGGER
- - DEC
- - DECIMAL
- - DECLARE
- - DECODE
- - DECOMPOSE
- - DECR
- - DECREMENT
- - DECRYPT
- - DEDUPLICATE
- - DEFAULT
- - DEFAULTS
- - DEFERRABLE
- - DEFERRED
- - DEFINED
- - DEFINER
- - DEGREE
- - DELAY
- - DELETE
- - DELETEXML
- - DEMAND
- - DENSE_RANK
- - DENSE_RANKM
- - DEPENDENT
- - DEPTH
- - DEQUEUE
- - DEREF
- - DEREF_NO_REWRITE
- - DESC
- - DETACHED
- - DETERMINES
- - DICTIONARY
- - DIMENSION
- - DIRECT_LOAD
- - DIRECTORY
- - DISABLE
- - DISABLE_PRESET
- - DISABLE_RPKE
- - DISALLOW
- - DISASSOCIATE
- - DISCONNECT
- - DISK
- - DISKGROUP
- - DISKS
- - DISMOUNT
- - DISTINCT
- - DISTINGUISHED
- - DISTRIBUTED
- - DML
- - DML_UPDATE
- - DOCFIDELITY
- - DOCUMENT
- - DOMAIN_INDEX_FILTER
- - DOMAIN_INDEX_NO_SORT
- - DOMAIN_INDEX_SORT
- - DOUBLE
- - DOWNGRADE
- - DRIVING_SITE
- - DROP
- - DROP_COLUMN
- - DROP_GROUP
- - DST_UPGRADE_INSERT_CONV
- - DUMP
- - DYNAMIC
- - DYNAMIC_SAMPLING
- - DYNAMIC_SAMPLING_EST_CDN
- - E
- - EACH
- - EDITION
- - EDITIONING
- - EDITIONS
- - ELEMENT
- - ELIMINATE_JOIN
- - ELIMINATE_OBY
- - ELIMINATE_OUTER_JOIN
- - ELSE
- - EMPTY
- - EMPTY_BLOB
- - EMPTY_CLOB
- - ENABLE
- - ENABLE_PRESET
- - ENCODING
- - ENCRYPT
- - ENCRYPTION
- - END
- - END_OUTLINE_DATA
- - ENFORCE
- - ENFORCED
- - ENQUEUE
- - ENTERPRISE
- - ENTITYESCAPING
- - ENTRY
- - ERROR
- - ERROR_ARGUMENT
- - ERROR_ON_OVERLAP_TIME
- - ERRORS
- - ESCAPE
- - ESTIMATE
- - EVALNAME
- - EVALUATION
- - EVENTS
- - EVERY
- - EXCEPT
- - EXCEPTIONS
- - EXCHANGE
- - EXCLUDE
- - EXCLUDING
- - EXCLUSIVE
- - EXECUTE
- - EXEMPT
- - EXISTS
- - EXISTSNODE
- - EXP
- - EXPAND_GSET_TO_UNION
- - EXPAND_TABLE
- - EXPIRE
- - EXPLAIN
- - EXPLOSION
- - EXPORT
- - EXPR_CORR_CHECK
- - EXTENDS
- - EXTENT
- - EXTENTS
- - EXTERNAL
- - EXTERNALLY
- - EXTRA
- - EXTRACT
- - EXTRACTVALUE
- - FACILITY
- - FACT
- - FACTORIZE_JOIN
- - FAILED
- - FAILED_LOGIN_ATTEMPTS
- - FAILGROUP
- - FALSE
- - FAST
- - FBTSCAN
- - FEATURE_ID
- - FEATURE_SET
- - FEATURE_VALUE
- - FILE
- - FILESYSTEM_LIKE_LOGGING
- - FILTER
- - FINAL
- - FINE
- - FINISH
- - FIRST
- - FIRSTM
- - FIRST_ROWS
- - FIRST_VALUE
- - FLAGGER
- - FLASHBACK
- - FLASH_CACHE
- - FLOAT
- - FLOB
- - FLOOR
- - FLUSH
- - FOLDER
- - FOLLOWING
- - FOLLOWS
- - FOR
- - FORCE
- - FORCE_XML_QUERY_REWRITE
- - FOREIGN
- - FOREVER
- - FORWARD
- - FREELIST
- - FREELISTS
- - FREEPOOLS
- - FRESH
- - FROM
- - FROM_TZ
- - FULL
- - FUNCTION
- - FUNCTIONS
- - G
- - GATHER_PLAN_STATISTICS
- - GBY_CONC_ROLLUP
- - GBY_PUSHDOWN
- - GENERATED
- - GLOBAL
- - GLOBALLY
- - GLOBAL_NAME
- - GLOBAL_TOPIC_ENABLED
- - GRANT
- - GREATEST
- - GROUP
- - GROUP_BY
- - GROUP_ID
- - GROUPING
- - GROUPING_ID
- - GROUPS
- - GUARANTEE
- - GUARANTEED
- - GUARD
- - H
- - HASH
- - HASH_AJ
- - HASHKEYS
- - HASH_SJ
- - HAVING
- - HEADER
- - HEAP
- - HELP
- - HEXTORAW
- - HEXTOREF
- - HIDDEN
- - HIDE
- - HIERARCHY
- - HIGH
- - HINTSET_BEGIN
- - HINTSET_END
- - HOT
- - HOUR
- - HWM_BROKERED
- - HYBRID
- - ID
- - IDENTIFIED
- - IDENTIFIER
- - IDENTITY
- - IDGENERATORS
- - IDLE_TIME
- - IF
- - IGNORE
- - IGNORE_OPTIM_EMBEDDED_HINTS
- - IGNORE_ROW_ON_DUPKEY_INDEX
- - IGNORE_WHERE_CLAUSE
- - IMMEDIATE
- - IMPACT
- - IMPORT
- - IN
- - INCLUDE
- - INCLUDE_VERSION
- - INCLUDING
- - INCR
- - INCREMENT
- - INCREMENTAL
- - INDENT
- - INDEX
- - INDEX_ASC
- - INDEX_COMBINE
- - INDEX_DESC
- - INDEXED
- - INDEXES
- - INDEX_FFS
- - INDEX_FILTER
- - INDEX_JOIN
- - INDEX_ROWS
- - INDEX_RRS
- - INDEX_RS
- - INDEX_RS_ASC
- - INDEX_RS_DESC
- - INDEX_SCAN
- - INDEX_SKIP_SCAN
- - INDEX_SS
- - INDEX_SS_ASC
- - INDEX_SS_DESC
- - INDEX_STATS
- - INDEXTYPE
- - INDEXTYPES
- - INDICATOR
- - INFINITE
- - INFORMATIONAL
- - INITCAP
- - INITIAL
- - INITIALIZED
- - INITIALLY
- - INITRANS
- - INLINE
- - INLINE_XMLTYPE_NT
- - IN_MEMORY_METADATA
- - INNER
- - INSERT
- - INSERTCHILDXML
- - INSERTCHILDXMLAFTER
- - INSERTCHILDXMLBEFORE
- - INSERTXMLAFTER
- - INSERTXMLBEFORE
- - INSTANCE
- - INSTANCES
- - INSTANTIABLE
- - INSTANTLY
- - INSTEAD
- - INSTR
- - INSTRB
- - INSTRC
- - INSTR2
- - INSTR4
- - INT
- - INTEGER
- - INTERMEDIATE
- - INTERNAL_CONVERT
- - INTERNAL_USE
- - INTERPRETED
- - INTERSECT
- - INTERVAL
- - INTO
- - INVALIDATE
- - INVISIBLE
- - IN_XQUERY
- - IS
- - ISOLATION
- - ISOLATION_LEVEL
- - ITERATE
- - ITERATION_NUMBER
- - JAVA
- - JOB
- - JOIN
- - K
- - KEEP
- - KEEP_DUPLICATES
- - KERBEROS
- - KEY
- - KEY_LENGTH
- - KEYS
- - KEYSIZE
- - KILL
- - LAG
- - LAST
- - LAST_DAY
- - LAST_VALUE
- - LATERAL
- - LAYER
- - LDAP_REGISTRATION
- - LDAP_REGISTRATION_ENABLED
- - LDAP_REG_SYNC_INTERVAL
- - LEAD
- - LEADING
- - LEAST
- - LEFT
- - LENGTH
- - LENGTHB
- - LENGTHC
- - LENGTH2
- - LENGTH4
- - LESS
- - LEVEL
- - LEVELS
- - LIBRARY
- - LIFE
- - LIFETIME
- - LIKE
- - LIKEC
- - LIKE_EXPAND
- - LIKE2
- - LIKE4
- - LIMIT
- - LINK
- - LIST
- - LISTAGG
- - LN
- - LNNVL
- - LOB
- - LOBNVL
- - LOBS
- - LOCAL
- - LOCAL_INDEXES
- - LOCALTIME
- - LOCALTIMESTAMP
- - LOCATION
- - LOCATOR
- - LOCK
- - LOCKED
- - LOG
- - LOGFILE
- - LOGFILES
- - LOGGING
- - LOGICAL
- - LOGICAL_READS_PER_CALL
- - LOGICAL_READS_PER_SESSION
- - LOGOFF
- - LOGON
- - LONG
- - LOOP
- - LOW
- - LOWER
- - LPAD
- - LTRIM
- - M
- - MAIN
- - MAKE_REF
- - MANAGE
- - MANAGED
- - MANAGEMENT
- - MANUAL
- - MAPPING
- - MASTER
- - MATCHED
- - MATERIALIZE
- - MATERIALIZED
- - MAX
- - MAXARCHLOGS
- - MAXDATAFILES
- - MAXEXTENTS
- - MAXIMIZE
- - MAXINSTANCES
- - MAXLOGFILES
- - MAXLOGHISTORY
- - MAXLOGMEMBERS
- - MAXSIZE
- - MAXTRANS
- - MAXVALUE
- - MEASURE
- - MEASURES
- - MEDIAN
- - MEDIUM
- - MEMBER
- - MEMORY
- - MERGE
- - MERGE$ACTIONS
- - MERGE_AJ
- - MERGE_CONST_ON
- - MERGE_SJ
- - METHOD
- - MIGRATE
- - MIGRATION
- - MIN
- - MINEXTENTS
- - MINIMIZE
- - MINIMUM
- - MINING
- - MINUS
- - MINUS_NULL
- - MINUTE
- - MINVALUE
- - MIRROR
- - MIRRORCOLD
- - MIRRORHOT
- - MLSLABEL
- - MOD
- - MODE
- - MODEL
- - MODEL_COMPILE_SUBQUERY
- - MODEL_DONTVERIFY_UNIQUENESS
- - MODEL_DYNAMIC_SUBQUERY
- - MODEL_MIN_ANALYSIS
- - MODEL_NO_ANALYSIS
- - MODEL_PBY
- - MODEL_PUSH_REF
- - MODIFY
- - MONITOR
- - MONITORING
- - MONTH
- - MONTHS_BETWEEN
- - MOUNT
- - MOUNTPATH
- - MOVE
- - MOVEMENT
- - MULTISET
- - MV_MERGE
- - NAME
- - NAMED
- - NAMESPACE
- - NAN
- - NANVL
- - NATIONAL
- - NATIVE
- - NATIVE_FULL_OUTER_JOIN
- - NATURAL
- - NAV
- - NCHAR
- - NCHAR_CS
- - NCHR
- - NCLOB
- - NEEDED
- - NESTED
- - NESTED_TABLE_FAST_INSERT
- - NESTED_TABLE_GET_REFS
- - NESTED_TABLE_ID
- - NESTED_TABLE_SET_REFS
- - NESTED_TABLE_SET_SETID
- - NETWORK
- - NEVER
- - NEW
- - NEW_TIME
- - NEXT
- - NEXT_DAY
- - NL_AJ
- - NLJ_BATCHING
- - NLJ_INDEX_FILTER
- - NLJ_INDEX_SCAN
- - NLJ_PREFETCH
- - NLS_CALENDAR
- - NLS_CHARACTERSET
- - NLS_CHARSET_DECL_LEN
- - NLS_CHARSET_ID
- - NLS_CHARSET_NAME
- - NLS_COMP
- - NLS_CURRENCY
- - NLS_DATE_FORMAT
- - NLS_DATE_LANGUAGE
- - NLS_INITCAP
- - NLS_ISO_CURRENCY
- - NL_SJ
- - NLS_LANG
- - NLS_LANGUAGE
- - NLS_LENGTH_SEMANTICS
- - NLS_LOWER
- - NLS_NCHAR_CONV_EXCP
- - NLS_NUMERIC_CHARACTERS
- - NLS_SORT
- - NLSSORT
- - NLS_SPECIAL_CHARS
- - NLS_TERRITORY
- - NLS_UPPER
- - NO
- - NO_ACCESS
- - NOAPPEND
- - NOARCHIVELOG
- - NOAUDIT
- - NO_BASETABLE_MULTIMV_REWRITE
- - NO_BIND_AWARE
- - NO_BUFFER
- - NOCACHE
- - NO_CARTESIAN
- - NO_CHECK_ACL_REWRITE
- - NO_COALESCE_SQ
- - NOCOMPRESS
- - NO_CONNECT_BY_CB_WHR_ONLY
- - NO_CONNECT_BY_COMBINE_SW
- - NO_CONNECT_BY_COST_BASED
- - NO_CONNECT_BY_ELIM_DUPS
- - NO_CONNECT_BY_FILTERING
- - NO_COST_XML_QUERY_REWRITE
- - NO_CPU_COSTING
- - NOCPU_COSTING
- - NOCYCLE
- - NODELAY
- - NO_DOMAIN_INDEX_FILTER
- - NO_DST_UPGRADE_INSERT_CONV
- - NO_ELIMINATE_JOIN
- - NO_ELIMINATE_OBY
- - NO_ELIMINATE_OUTER_JOIN
- - NOENTITYESCAPING
- - NO_EXPAND
- - NO_EXPAND_GSET_TO_UNION
- - NO_EXPAND_TABLE
- - NO_FACT
- - NO_FACTORIZE_JOIN
- - NO_FILTERING
- - NOFORCE
- - NO_GBY_PUSHDOWN
- - NOGUARANTEE
- - NO_INDEX
- - NO_INDEX_FFS
- - NO_INDEX_SS
- - NO_LOAD
- - NOLOCAL
- - NOLOGGING
- - NOMAPPING
- - NOMAXVALUE
- - NO_MERGE
- - NOMINIMIZE
- - NOMINVALUE
- - NO_MODEL_PUSH_REF
- - NO_MONITOR
- - NO_MONITORING
- - NOMONITORING
- - NO_MULTIMV_REWRITE
- - NO_NATIVE_FULL_OUTER_JOIN
- - NONE
- - NO_NLJ_BATCHING
- - NO_NLJ_PREFETCH
- - NONSCHEMA
- - NOORDER
- - NO_ORDER_ROLLUPS
- - NO_OUTER_JOIN_TO_INNER
- - NOOVERRIDE
- - NO_PARALLEL
- - NOPARALLEL
- - NO_PARALLEL_INDEX
- - NOPARALLEL_INDEX
- - NO_PARTIAL_COMMIT
- - NO_PLACE_DISTINCT
- - NO_PLACE_GROUP_BY
- - NO_PQ_MAP
- - NO_PRUNE_GSETS
- - NO_PULL_PRED
- - NO_PUSH_PRED
- - NO_PUSH_SUBQ
- - NO_PX_JOIN_FILTER
- - NO_QKN_BUFF
- - NO_QUERY_TRANSFORMATION
- - NO_REF_CASCADE
- - NORELY
- - NOREPAIR
- - NORESETLOGS
- - NO_RESULT_CACHE
- - NOREVERSE
- - NO_REWRITE
- - NOREWRITE
- - NORMAL
- - NOROWDEPENDENCIES
- - NOSCHEMACHECK
- - NOSEGMENT
- - NO_SEMIJOIN
- - NO_SET_TO_JOIN
- - NOSORT
- - NO_SQL_TUNE
- - NO_STAR_TRANSFORMATION
- - NO_STATEMENT_QUEUING
- - NO_STATS_GSETS
- - NOSTRICT
- - NO_SUBQUERY_PRUNING
- - NO_SUBSTRB_PAD
- - NO_SWAP_JOIN_INPUTS
- - NOSWITCH
- - NOT
- - NO_TEMP_TABLE
- - NOTHING
- - NOTIFICATION
- - NO_TRANSFORM_DISTINCT_AGG
- - NO_UNNEST
- - NO_USE_HASH
- - NO_USE_HASH_AGGREGATION
- - NO_USE_INVISIBLE_INDEXES
- - NO_USE_MERGE
- - NO_USE_NL
- - NOVALIDATE
- - NOWAIT
- - NO_XML_DML_REWRITE
- - NO_XMLINDEX_REWRITE
- - NO_XMLINDEX_REWRITE_IN_SELECT
- - NO_XML_QUERY_REWRITE
- - NTH_VALUE
- - NTILE
- - NULL
- - NULLIF
- - NULLS
- - NUMBER
- - NUMERIC
- - NUM_INDEX_KEYS
- - NUMTODSINTERVAL
- - NUMTOYMINTERVAL
- - NVARCHAR2
- - NVL
- - NVL2
- - OBJECT
- - OBJECTTOXML
- - OBJNO
- - OBJNO_REUSE
- - OCCURENCES
- - OF
- - OFF
- - OFFLINE
- - OID
- - OIDINDEX
- - OLAP
- - OLD
- - OLD_PUSH_PRED
- - OLTP
- - ON
- - ONLINE
- - ONLY
- - OPAQUE
- - OPAQUE_TRANSFORM
- - OPAQUE_XCANONICAL
- - OPCODE
- - OPEN
- - OPERATIONS
- - OPERATOR
- - OPT_ESTIMATE
- - OPTIMAL
- - OPTIMIZER_FEATURES_ENABLE
- - OPTIMIZER_GOAL
- - OPTION
- - OPT_PARAM
- - OR
- - ORA_BRANCH
- - ORA_CHECKACL
- - ORADEBUG
- - ORA_DST_AFFECTED
- - ORA_DST_CONVERT
- - ORA_DST_ERROR
- - ORA_GET_ACLIDS
- - ORA_GET_PRIVILEGES
- - ORA_HASH
- - ORA_ROWSCN
- - ORA_ROWSCN_RAW
- - ORA_ROWVERSION
- - ORA_TABVERSION
- - ORDER
- - ORDERED
- - ORDERED_PREDICATES
- - ORDINALITY
- - OR_EXPAND
- - ORGANIZATION
- - OR_PREDICATES
- - OTHER
- - OUTER
- - OUTER_JOIN_TO_INNER
- - OUTLINE
- - OUTLINE_LEAF
- - OUT_OF_LINE
- - OVER
- - OVERFLOW
- - OVERFLOW_NOMOVE
- - OVERLAPS
- - OWN
- - OWNER
- - OWNERSHIP
- - P
- - PACKAGE
- - PACKAGES
- - PARALLEL
- - PARALLEL_INDEX
- - PARAM
- - PARAMETERS
- - PARENT
- - PARITY
- - PARTIALLY
- - PARTITION
- - PARTITION_HASH
- - PARTITION_LIST
- - PARTITION_RANGE
- - PARTITIONS
- - PART$NUM$INST
- - PASSING
- - PASSWORD
- - PASSWORD_GRACE_TIME
- - PASSWORD_LIFE_TIME
- - PASSWORD_LOCK_TIME
- - PASSWORD_REUSE_MAX
- - PASSWORD_REUSE_TIME
- - PASSWORD_VERIFY_FUNCTION
- - PATH
- - PATHS
- - PBL_HS_BEGIN
- - PBL_HS_END
- - PCTFREE
- - PCTINCREASE
- - PCTTHRESHOLD
- - PCTUSED
- - PCTVERSION
- - PENDING
- - PERCENT
- - PERCENTILE_CONT
- - PERCENTILE_DISC
- - PERCENT_RANK
- - PERCENT_RANKM
- - PERFORMANCE
- - PERMANENT
- - PERMISSION
- - PFILE
- - PHYSICAL
- - PIKEY
- - PIV_GB
- - PIVOT
- - PIV_SSF
- - PLACE_DISTINCT
- - PLACE_GROUP_BY
- - PLAN
- - PLSCOPE_SETTINGS
- - PLSQL_CCFLAGS
- - PLSQL_CODE_TYPE
- - PLSQL_DEBUG
- - PLSQL_OPTIMIZE_LEVEL
- - PLSQL_WARNINGS
- - POINT
- - POLICY
- - POST_TRANSACTION
- - POWER
- - POWERMULTISET
- - POWERMULTISET_BY_CARDINALITY
- - PQ_DISTRIBUTE
- - PQ_MAP
- - PQ_NOMAP
- - PREBUILT
- - PRECEDES
- - PRECEDING
- - PRECISION
- - PRECOMPUTE_SUBQUERY
- - PREDICATE_REORDERS
- - PREDICTION
- - PREDICTION_BOUNDS
- - PREDICTION_COST
- - PREDICTION_DETAILS
- - PREDICTION_PROBABILITY
- - PREDICTION_SET
- - PREPARE
- - PRESENT
- - PRESENTNNV
- - PRESENTV
- - PRESERVE
- - PRESERVE_OID
- - PREVIOUS
- - PRIMARY
- - PRIOR
- - PRIVATE
- - PRIVATE_SGA
- - PRIVILEGE
- - PRIVILEGES
- - PROCEDURAL
- - PROCEDURE
- - PROCESS
- - PROFILE
- - PROGRAM
- - PROJECT
- - PROPAGATE
- - PROTECTED
- - PROTECTION
- - PUBLIC
- - PULL_PRED
- - PURGE
- - PUSH_PRED
- - PUSH_SUBQ
- - PX_GRANULE
- - PX_JOIN_FILTER
- - QB_NAME
- - QUERY
- - QUERY_BLOCK
- - QUEUE
- - QUEUE_CURR
- - QUEUE_ROWP
- - QUIESCE
- - QUORUM
- - QUOTA
- - RANDOM
- - RANDOM_LOCAL
- - RANGE
- - RANK
- - RANKM
- - RAPIDLY
- - RATIO_TO_REPORT
- - RAW
- - RAWTOHEX
- - RAWTONHEX
- - RBA
- - RBO_OUTLINE
- - RDBA
- - READ
- - READS
- - REAL
- - REBALANCE
- - REBUILD
- - RECORDS_PER_BLOCK
- - RECOVER
- - RECOVERABLE
- - RECOVERY
- - RECYCLE
- - RECYCLEBIN
- - REDO
- - REDUCED
- - REDUNDANCY
- - REF
- - REF_CASCADE_CURSOR
- - REFERENCE
- - REFERENCED
- - REFERENCES
- - REFERENCING
- - REFRESH
- - REFTOHEX
- - REGEXP_COUNT
- - REGEXP_INSTR
- - REGEXP_LIKE
- - REGEXP_REPLACE
- - REGEXP_SUBSTR
- - REGISTER
- - REGR_AVGX
- - REGR_AVGY
- - REGR_COUNT
- - REGR_INTERCEPT
- - REGR_R2
- - REGR_SLOPE
- - REGR_SXX
- - REGR_SXY
- - REGR_SYY
- - REGULAR
- - REJECT
- - REKEY
- - RELATIONAL
- - RELY
- - REMAINDER
- - REMOTE_MAPPED
- - REMOVE
- - RENAME
- - REPAIR
- - REPEAT
- - REPLACE
- - REPLICATION
- - REQUIRED
- - RESET
- - RESETLOGS
- - RESIZE
- - RESOLVE
- - RESOLVER
- - RESOURCE
- - RESPECT
- - RESTORE
- - RESTORE_AS_INTERVALS
- - RESTRICT
- - RESTRICT_ALL_REF_CONS
- - RESTRICTED
- - RESULT_CACHE
- - RESUMABLE
- - RESUME
- - RETENTION
- - RETRY_ON_ROW_CHANGE
- - RETURN
- - RETURNING
- - REUSE
- - REVERSE
- - REVOKE
- - REWRITE
- - REWRITE_OR_ERROR
- - RIGHT
- - ROLE
- - ROLES
- - ROLLBACK
- - ROLLING
- - ROLLUP
- - ROUND
- - ROW
- - ROWDEPENDENCIES
- - ROWID
- - ROWIDTOCHAR
- - ROWIDTONCHAR
- - ROW_LENGTH
- - ROWNUM
- - ROW_NUMBER
- - ROWS
- - RPAD
- - RTRIM
- - RULE
- - RULES
- - SALT
- - SAMPLE
- - SAVE_AS_INTERVALS
- - SAVEPOINT
- - SB4
- - SCALE
- - SCALE_ROWS
- - SCAN
- - SCAN_INSTANCES
- - SCHEDULER
- - SCHEMA
- - SCHEMACHECK
- - SCN
- - SCN_ASCENDING
- - SCOPE
- - SD_ALL
- - SD_INHIBIT
- - SD_SHOW
- - SEARCH
- - SECOND
- - SECUREFILE
- - SECUREFILE_DBA
- - SECURITY
- - SEED
- - SEG_BLOCK
- - SEG_FILE
- - SEGMENT
- - SELECT
- - SELECTIVITY
- - SEMIJOIN
- - SEMIJOIN_DRIVER
- - SEQUENCE
- - SEQUENCED
- - SEQUENTIAL
- - SERIALIZABLE
- - SERVERERROR
- - SESSION
- - SESSION_CACHED_CURSORS
- - SESSIONS_PER_USER
- - SESSIONTIMEZONE
- - SESSIONTZNAME
- - SET
- - SETS
- - SETTINGS
- - SET_TO_JOIN
- - SEVERE
- - SHARE
- - SHARED
- - SHARED_POOL
- - SHOW
- - SHRINK
- - SHUTDOWN
- - SIBLINGS
- - SID
- - SIGN
- - SIGNAL_COMPONENT
- - SIGNAL_FUNCTION
- - SIMPLE
- - SIN
- - SINGLE
- - SINGLETASK
- - SINH
- - SIZE
- - SKIP
- - SKIP_EXT_OPTIMIZER
- - SKIP_UNQ_UNUSABLE_IDX
- - SKIP_UNUSABLE_INDEXES
- - SMALLFILE
- - SMALLINT
- - SNAPSHOT
- - SOME
- - SORT
- - SOUNDEX
- - SOURCE
- - SPACE
- - SPECIFICATION
- - SPFILE
- - SPLIT
- - SPREADSHEET
- - SQL
- - SQLLDR
- - SQL_TRACE
- - SQRT
- - STALE
- - STANDALONE
- - STANDBY
- - STANDBY_MAX_DATA_DELAY
- - STAR
- - START
- - STAR_TRANSFORMATION
- - STARTUP
- - STATEMENT_ID
- - STATEMENT_QUEUING
- - STATEMENTS
- - STATIC
- - STATISTICS
- - STATS_BINOMIAL_TEST
- - STATS_CROSSTAB
- - STATS_F_TEST
- - STATS_KS_TEST
- - STATS_MODE
- - STATS_MW_TEST
- - STATS_ONE_WAY_ANOVA
- - STATS_T_TEST_INDEP
- - STATS_T_TEST_INDEPU
- - STATS_T_TEST_ONE
- - STATS_T_TEST_PAIRED
- - STATS_WSR_TEST
- - STDDEV
- - STDDEV_POP
- - STDDEV_SAMP
- - STOP
- - STORAGE
- - STORE
- - STREAMS
- - STRICT
- - STRING
- - STRIP
- - STRIPE_COLUMNS
- - STRIPE_WIDTH
- - STRUCTURE
- - SUBMULTISET
- - SUBPARTITION
- - SUBPARTITION_REL
- - SUBPARTITIONS
- - SUBQUERIES
- - SUBQUERY_PRUNING
- - SUBSTITUTABLE
- - SUBSTR
- - SUBSTRB
- - SUBSTRC
- - SUBSTR2
- - SUBSTR4
- - SUCCESSFUL
- - SUM
- - SUMMARY
- - SUPPLEMENTAL
- - SUSPEND
- - SWAP_JOIN_INPUTS
- - SWITCH
- - SWITCHOVER
- - SYNC
- - SYNCHRONOUS
- - SYNONYM
- - SYSASM
- - SYS_AUDIT
- - SYSAUX
- - SYS_CHECKACL
- - SYS_CONNECT_BY_PATH
- - SYS_CONTEXT
- - SYSDATE
- - SYSDBA
- - SYS_DBURIGEN
- - SYS_DL_CURSOR
- - SYS_DM_RXFORM_CHR
- - SYS_DM_RXFORM_NUM
- - SYS_DOM_COMPARE
- - SYS_DST_PRIM2SEC
- - SYS_DST_SEC2PRIM
- - SYS_ET_BFILE_TO_RAW
- - SYS_ET_BLOB_TO_IMAGE
- - SYS_ET_IMAGE_TO_BLOB
- - SYS_ET_RAW_TO_BFILE
- - SYS_EXTPDTXT
- - SYS_EXTRACT_UTC
- - SYS_FBT_INSDEL
- - SYS_FILTER_ACLS
- - SYS_GET_ACLIDS
- - SYS_GET_PRIVILEGES
- - SYS_GETTOKENID
- - SYS_GUID
- - SYS_MAKEXML
- - SYS_MAKE_XMLNODEID
- - SYS_MKXMLATTR
- - SYS_OP_ADTCONS
- - SYS_OP_ADT2BIN
- - SYS_OP_ALSCRVAL
- - SYS_OP_ATG
- - SYS_OP_BIN2ADT
- - SYS_OP_BITVEC
- - SYS_OP_BLOOM_FILTER
- - SYS_OP_BLOOM_FILTER_LIST
- - SYS_OP_BL2R
- - SYS_OP_CAST
- - SYS_OP_CEG
- - SYS_OP_CL2C
- - SYS_OP_COMBINED_HASH
- - SYS_OP_COMP
- - SYS_OP_CONVERT
- - SYS_OP_COUNTCHG
- - SYS_OP_CSCONV
- - SYS_OP_CSCONVTEST
- - SYS_OP_CSR
- - SYS_OP_CSX_PATCH
- - SYS_OP_C2C
- - SYS_OP_DECOMP
- - SYS_OP_DESCEND
- - SYS_OP_DISTINCT
- - SYS_OP_DRA
- - SYS_OP_DUMP
- - SYS_OP_ENFORCE_NOT_NULL$
- - SYSOPER
- - SYS_OP_EXTRACT
- - SYS_OP_GROUPING
- - SYS_OP_GUID
- - SYS_OP_IIX
- - SYS_OP_ITR
- - SYS_OP_LBID
- - SYS_OP_LOBLOC2BLOB
- - SYS_OP_LOBLOC2CLOB
- - SYS_OP_LOBLOC2ID
- - SYS_OP_LOBLOC2NCLOB
- - SYS_OP_LOBLOC2TYP
- - SYS_OP_LSVI
- - SYS_OP_LVL
- - SYS_OP_MAKEOID
- - SYS_OP_MAP_NONNULL
- - SYS_OP_MSR
- - SYS_OP_NICOMBINE
- - SYS_OP_NIEXTRACT
- - SYS_OP_NII
- - SYS_OP_NIX
- - SYS_OP_NOEXPAND
- - SYS_OP_NTCIMG$
- - SYS_OP_NUMTORAW
- - SYS_OP_OIDVALUE
- - SYS_OP_OPNSIZE
- - SYS_OP_PAR
- - SYS_OP_PARGID
- - SYS_OP_PARGID_1
- - SYS_OP_PAR_1
- - SYS_OP_PIVOT
- - SYS_OP_RAWTONUM
- - SYS_OP_RDTM
- - SYS_OP_REF
- - SYS_OP_RMTD
- - SYS_OP_ROWIDTOOBJ
- - SYS_OP_RPB
- - SYS_OP_R2O
- - SYS_OPTLOBPRBSC
- - SYS_OP_TOSETID
- - SYS_OP_TPR
- - SYS_OP_TRTB
- - SYS_OPTXICMP
- - SYS_OPTXQCASTASNQ
- - SYS_OP_UNDESCEND
- - SYS_OP_VECAND
- - SYS_OP_VECBIT
- - SYS_OP_VECOR
- - SYS_OP_VECXOR
- - SYS_OP_VERSION
- - SYS_OP_VREF
- - SYS_OP_VVD
- - SYS_OP_XPTHATG
- - SYS_OP_XPTHIDX
- - SYS_OP_XPTHOP
- - SYS_OP_XTXT2SQLT
- - SYS_ORDERKEY_DEPTH
- - SYS_ORDERKEY_MAXCHILD
- - SYS_ORDERKEY_PARENT
- - SYS_PARALLEL_TXN
- - SYS_PATHID_IS_ATTR
- - SYS_PATHID_IS_NMSPC
- - SYS_PATHID_LASTNAME
- - SYS_PATHID_LASTNMSPC
- - SYS_PATH_REVERSE
- - SYS_PXQEXTRACT
- - SYS_RID_ORDER
- - SYS_ROW_DELTA
- - SYS_SC_2_XMLT
- - SYS_SYNRCIREDO
- - SYSTEM
- - SYSTEM_DEFINED
- - SYSTIMESTAMP
- - SYS_TYPEID
- - SYS_UMAKEXML
- - SYS_XMLANALYZE
- - SYS_XMLCONTAINS
- - SYS_XMLCONV
- - SYS_XMLEXNSURI
- - SYS_XMLGEN
- - SYS_XMLI_LOC_ISNODE
- - SYS_XMLI_LOC_ISTEXT
- - SYS_XMLLOCATOR_GETSVAL
- - SYS_XMLNODEID
- - SYS_XMLNODEID_GETCID
- - SYS_XMLNODEID_GETLOCATOR
- - SYS_XMLNODEID_GETOKEY
- - SYS_XMLNODEID_GETPATHID
- - SYS_XMLNODEID_GETPTRID
- - SYS_XMLNODEID_GETRID
- - SYS_XMLNODEID_GETSVAL
- - SYS_XMLNODEID_GETTID
- - SYS_XMLTRANSLATE
- - SYS_XMLTYPE2SQL
- - SYS_XMLT_2_SC
- - SYS_XQ_ASQLCNV
- - SYS_XQ_ATOMCNVCHK
- - SYS_XQBASEURI
- - SYS_XQCASTABLEERRH
- - SYS_XQCODEPEQ
- - SYS_XQCODEP2STR
- - SYS_XQCONCAT
- - SYS_XQCON2SEQ
- - SYS_XQDELETE
- - SYS_XQDFLTCOLATION
- - SYS_XQDOC
- - SYS_XQDOCURI
- - SYS_XQED4URI
- - SYS_XQENDSWITH
- - SYS_XQERR
- - SYS_XQERRH
- - SYS_XQESHTMLURI
- - SYS_XQEXLOBVAL
- - SYS_XQEXSTWRP
- - SYS_XQEXTRACT
- - SYS_XQEXTRREF
- - SYS_XQEXVAL
- - SYS_XQFB2STR
- - SYS_XQFNBOOL
- - SYS_XQFNCMP
- - SYS_XQFNDATIM
- - SYS_XQFNLNAME
- - SYS_XQFNNM
- - SYS_XQFNNSURI
- - SYS_XQFNPREDTRUTH
- - SYS_XQFNQNM
- - SYS_XQFNROOT
- - SYS_XQFORMATNUM
- - SYS_XQFTCONTAIN
- - SYS_XQFUNCR
- - SYS_XQGETCONTENT
- - SYS_XQINDXOF
- - SYS_XQINSERT
- - SYS_XQINSPFX
- - SYS_XQIRI2URI
- - SYS_XQLANG
- - SYS_XQLLNMFRMQNM
- - SYS_XQMKNODEREF
- - SYS_XQNILLED
- - SYS_XQNODENAME
- - SYS_XQNORMSPACE
- - SYS_XQNORMUCODE
- - SYS_XQ_NRNG
- - SYS_XQNSPFRMQNM
- - SYS_XQNSP4PFX
- - SYS_XQPFXFRMQNM
- - SYS_XQ_PKSQL2XML
- - SYS_XQPOLYABS
- - SYS_XQPOLYADD
- - SYS_XQPOLYCEL
- - SYS_XQPOLYCST
- - SYS_XQPOLYCSTBL
- - SYS_XQPOLYDIV
- - SYS_XQPOLYFLR
- - SYS_XQPOLYMOD
- - SYS_XQPOLYMUL
- - SYS_XQPOLYRND
- - SYS_XQPOLYSQRT
- - SYS_XQPOLYSUB
- - SYS_XQPOLYUMUS
- - SYS_XQPOLYUPLS
- - SYS_XQPOLYVEQ
- - SYS_XQPOLYVGE
- - SYS_XQPOLYVGT
- - SYS_XQPOLYVLE
- - SYS_XQPOLYVLT
- - SYS_XQPOLYVNE
- - SYS_XQREF2VAL
- - SYS_XQRENAME
- - SYS_XQREPLACE
- - SYS_XQRESVURI
- - SYS_XQRNDHALF2EVN
- - SYS_XQRSLVQNM
- - SYS_XQRYENVPGET
- - SYS_XQRYVARGET
- - SYS_XQRYWRP
- - SYS_XQSEQDEEPEQ
- - SYS_XQSEQINSB
- - SYS_XQSEQRM
- - SYS_XQSEQRVS
- - SYS_XQSEQSUB
- - SYS_XQSEQTYPMATCH
- - SYS_XQSEQ2CON
- - SYS_XQSEQ2CON4XC
- - SYS_XQSTARTSWITH
- - SYS_XQSTATBURI
- - SYS_XQSTRJOIN
- - SYS_XQSTR2CODEP
- - SYS_XQSUBSTRAFT
- - SYS_XQSUBSTRBEF
- - SYS_XQTOKENIZE
- - SYS_XQTREATAS
- - SYS_XQ_UPKXML2SQL
- - SYS_XQXFORM
- - T
- - TABLE
- - TABLES
- - TABLESPACE
- - TABLESPACE_NO
- - TABLE_STATS
- - TABNO
- - TAN
- - TANH
- - TBL$OR$IDX$PART$NUM
- - TEMPFILE
- - TEMPLATE
- - TEMPORARY
- - TEMP_TABLE
- - TEST
- - THAN
- - THE
- - THEN
- - THREAD
- - THROUGH
- - TIME
- - TIMEOUT
- - TIMES
- - TIMESTAMP
- - TIME_ZONE
- - TIMEZONE_ABBR
- - TIMEZONE_HOUR
- - TIMEZONE_MINUTE
- - TIMEZONE_OFFSET
- - TIMEZONE_REGION
- - TIV_GB
- - TIV_SSF
- - TO
- - TO_BINARY_DOUBLE
- - TO_BINARY_FLOAT
- - TO_BLOB
- - TO_CHAR
- - TO_CLOB
- - TO_DATE
- - TO_DSINTERVAL
- - TO_LOB
- - TO_MULTI_BYTE
- - TO_NCHAR
- - TO_NCLOB
- - TO_NUMBER
- - TOPLEVEL
- - TO_SINGLE_BYTE
- - TO_TIME
- - TO_TIMESTAMP
- - TO_TIMESTAMP_TZ
- - TO_TIME_TZ
- - TO_YMINTERVAL
- - TRACE
- - TRACING
- - TRACKING
- - TRAILING
- - TRANSACTION
- - TRANSFORM_DISTINCT_AGG
- - TRANSITION
- - TRANSITIONAL
- - TRANSLATE
- - TREAT
- - TRIGGER
- - TRIGGERS
- - TRIM
- - TRUE
- - TRUNC
- - TRUNCATE
- - TRUSTED
- - TUNING
- - TX
- - TYPE
- - TYPES
- - TZ_OFFSET
- - U
- - UBA
- - UB2
- - UID
- - UNARCHIVED
- - UNBOUND
- - UNBOUNDED
- - UNDER
- - UNDO
- - UNDROP
- - UNIFORM
- - UNION
- - UNIQUE
- - UNISTR
- - UNLIMITED
- - UNLOCK
- - UNNEST
- - UNPACKED
- - UNPIVOT
- - UNPROTECTED
- - UNQUIESCE
- - UNRECOVERABLE
- - UNRESTRICTED
- - UNTIL
- - UNUSABLE
- - UNUSED
- - UPDATABLE
- - UPDATE
- - UPDATED
- - UPDATEXML
- - UPD_INDEXES
- - UPD_JOININDEX
- - UPGRADE
- - UPPER
- - UPSERT
- - UROWID
- - USAGE
- - USE
- - USE_ANTI
- - USE_CONCAT
- - USE_HASH
- - USE_HASH_AGGREGATION
- - USE_INVISIBLE_INDEXES
- - USE_MERGE
- - USE_MERGE_CARTESIAN
- - USE_NL
- - USE_NL_WITH_INDEX
- - USE_PRIVATE_OUTLINES
- - USER
- - USER_DEFINED
- - USERENV
- - USERGROUP
- - USER_RECYCLEBIN
- - USERS
- - USE_SEMI
- - USE_STORED_OUTLINES
- - USE_TTT_FOR_GSETS
- - USE_WEAK_NAME_RESL
- - USING
- - VALIDATE
- - VALIDATION
- - VALUE
- - VALUES
- - VARCHAR
- - VARCHAR2
- - VARIANCE
- - VAR_POP
- - VARRAY
- - VARRAYS
- - VAR_SAMP
- - VARYING
- - VECTOR_READ
- - VECTOR_READ_TRACE
- - VERIFY
- - VERSION
- - VERSIONING
- - VERSIONS
- - VERSIONS_ENDSCN
- - VERSIONS_ENDTIME
- - VERSIONS_OPERATION
- - VERSIONS_STARTSCN
- - VERSIONS_STARTTIME
- - VERSIONS_XID
- - VIEW
- - VIRTUAL
- - VISIBLE
- - VOLUME
- - VSIZE
- - WAIT
- - WALLET
- - WELLFORMED
- - WHEN
- - WHENEVER
- - WHERE
- - WHILE
- - WHITESPACE
- - WIDTH_BUCKET
- - WITH
- - WITHIN
- - WITHOUT
- - WORK
- - WRAPPED
- - WRITE
- - X_DYN_PRUNE
- - XID
- - XML
- - XMLATTRIBUTES
- - XMLCAST
- - XMLCDATA
- - XMLCOLATTVAL
- - XMLCOMMENT
- - XMLCONCAT
- - XMLDIFF
- - XML_DML_RWT_STMT
- - XMLELEMENT
- - XMLEXISTS
- - XMLEXISTS2
- - XMLFOREST
- - XMLINDEX_REWRITE
- - XMLINDEX_REWRITE_IN_SELECT
- - XMLINDEX_SEL_IDX_TBL
- - XMLISNODE
- - XMLISVALID
- - XMLNAMESPACES
- - XMLPARSE
- - XMLPATCH
- - XMLPI
- - XMLQUERY
- - XMLROOT
- - XMLSCHEMA
- - XMLSERIALIZE
- - XMLTABLE
- - XMLTOOBJECT
- - XMLTRANSFORM
- - XMLTRANSFORMBLOB
- - XMLTYPE
- - XPATHTABLE
- - XS_SYS_CONTEXT
- - YEAR
- - YES
- - ZONE
+ - ABORT
+ - ACCEPT
+ - ACCESS
+ - ACCESSED
+ - ACCOUNT
+ - ACTIVATE
+ - ADD
+ - ADD_COLUMN
+ - ADD_GROUP
+ - ADJ_DATE
+ - ADMIN
+ - ADMINISTER
+ - ADMINISTRATOR
+ - ADVISE
+ - ADVISOR
+ - AFTER
+ - ALIAS
+ - ALL
+ - ALLOCATE
+ - ALLOW
+ - ALL_ROWS
+ - ALTER
+ - ALWAYS
+ - ANALYZE
+ - ANCILLARY
+ - AND
+ - AND_EQUAL
+ - ANTIJOIN
+ - ANY
+ - ANYSCHEMA
+ - APPEND
+ - APPENDCHILDXML
+ - APPEND_VALUES
+ - APPLY
+ - ARCHIVE
+ - ARCHIVELOG
+ - ARRAY
+ - AS
+ - ASC
+ - ASCII
+ - ASCIISTR
+ - ASSEMBLY
+ - ASSOCIATE
+ - ASYNC
+ - ASYNCHRONOUS
+ - AT
+ - ATTRIBUTE
+ - ATTRIBUTES
+ - AUDIT
+ - AUTHENTICATED
+ - AUTHENTICATION
+ - AUTHID
+ - AUTHORIZATION
+ - AUTO
+ - AUTOALLOCATE
+ - AUTOEXTEND
+ - AUTOMATIC
+ - AVAILABILITY
+ - BACKUP
+ - BASIC
+ - BASICFILE
+ - BATCH
+ - BECOME
+ - BEFORE
+ - BEGIN
+ - BEGIN_OUTLINE_DATA
+ - BEHALF
+ - BETWEEN
+ - BIGFILE
+ - BIND_AWARE
+ - BINDING
+ - BITAND
+ - BITMAP
+ - BITMAPS
+ - BITMAP_TREE
+ - BITS
+ - BLOCK
+ - BLOCK_RANGE
+ - BLOCKS
+ - BLOCKSIZE
+ - BODY
+ - BOTH
+ - BOUND
+ - BRANCH
+ - BREADTH
+ - BROADCAST
+ - BUFFER
+ - BUFFER_CACHE
+ - BUFFER_POOL
+ - BUILD
+ - BULK
+ - BY
+ - BYPASS_RECURSIVE_CHECK
+ - BYPASS_UJVC
+ - BYTE
+ - CACHE
+ - CACHE_CB
+ - CACHE_INSTANCES
+ - CACHE_TEMP_TABLE
+ - CALL
+ - CANCEL
+ - CARDINALITY
+ - CASCADE
+ - CASE
+ - CAST
+ - CATEGORY
+ - CEIL
+ - CELL_FLASH_CACHE
+ - CERTIFICATE
+ - CFILE
+ - CHAINED
+ - CHANGE
+ - CHANGE_DUPKEY_ERROR_INDEX
+ - CHAR
+ - CHARACTER
+ - CHAR_CS
+ - CHARTOROWID
+ - CHECK
+ - CHECK_ACL_REWRITE
+ - CHECKPOINT
+ - CHILD
+ - CHOOSE
+ - CHR
+ - CHUNK
+ - CLASS
+ - CLEAR
+ - CLONE
+ - CLOSE
+ - CLOSE_CACHED_OPEN_CURSORS
+ - CLUSTER
+ - CLUSTER_ID
+ - CLUSTERING_FACTOR
+ - CLUSTER_PROBABILITY
+ - CLUSTER_SET
+ - COALESCE
+ - COALESCE_SQ
+ - COARSE
+ - CO_AUTH_IND
+ - COLD
+ - COLLECT
+ - COLUMN
+ - COLUMNAR
+ - COLUMN_AUTH_INDICATOR
+ - COLUMNS
+ - COLUMN_STATS
+ - COLUMN_VALUE
+ - COMMENT
+ - COMMIT
+ - COMMITTED
+ - COMPACT
+ - COMPATIBILITY
+ - COMPILE
+ - COMPLETE
+ - COMPLIANCE
+ - COMPOSE
+ - COMPOSITE
+ - COMPOSITE_LIMIT
+ - COMPOUND
+ - COMPRESS
+ - COMPUTE
+ - CONCAT
+ - CONFIRM
+ - CONFORMING
+ - CONNECT
+ - CONNECT_BY_CB_WHR_ONLY
+ - CONNECT_BY_COMBINE_SW
+ - CONNECT_BY_COST_BASED
+ - CONNECT_BY_ELIM_DUPS
+ - CONNECT_BY_FILTERING
+ - CONNECT_BY_ISCYCLE
+ - CONNECT_BY_ISLEAF
+ - CONNECT_BY_ROOT
+ - CONNECT_TIME
+ - CONSIDER
+ - CONSISTENT
+ - CONST
+ - CONSTANT
+ - CONSTRAINT
+ - CONSTRAINTS
+ - CONTAINER
+ - CONTENT
+ - CONTENTS
+ - CONTEXT
+ - CONTINUE
+ - CONTROLFILE
+ - CONVERT
+ - CORR
+ - CORR_K
+ - CORR_S
+ - CORRUPTION
+ - CORRUPT_XID
+ - CORRUPT_XID_ALL
+ - COST
+ - COST_XML_QUERY_REWRITE
+ - COUNT
+ - COVAR_POP
+ - COVAR_SAMP
+ - CPU_COSTING
+ - CPU_PER_CALL
+ - CPU_PER_SESSION
+ - CRASH
+ - CREATE
+ - CREATE_STORED_OUTLINES
+ - CREATION
+ - CROSS
+ - CROSSEDITION
+ - CSCONVERT
+ - CUBE
+ - CUBE_GB
+ - CUME_DIST
+ - CUME_DISTM
+ - CURRENT
+ - CURRENT_DATE
+ - CURRENT_SCHEMA
+ - CURRENT_TIME
+ - CURRENT_TIMESTAMP
+ - CURRENT_USER
+ - CURRENTV
+ - CURSOR
+ - CURSOR_SHARING_EXACT
+ - CURSOR_SPECIFIC_SEGMENT
+ - CV
+ - CYCLE
+ - DANGLING
+ - DATA
+ - DATABASE
+ - DATABASE_DEFAULT
+ - DATAFILE
+ - DATAFILES
+ - DATAOBJNO
+ - DATAOBJ_TO_PARTITION
+ - DATE
+ - DATE_MODE
+ - DAY
+ - DBA
+ - DBA_RECYCLEBIN
+ - DBMS_STATS
+ - DB_ROLE_CHANGE
+ - DBTIMEZONE
+ - DB_VERSION
+ - DDL
+ - DEALLOCATE
+ - DEBUG
+ - DEBUGGER
+ - DEC
+ - DECLARE
+ - DECOMPOSE
+ - DECR
+ - DECREMENT
+ - DECRYPT
+ - DEDUPLICATE
+ - DEFAULT
+ - DEFAULTS
+ - DEFERRABLE
+ - DEFERRED
+ - DEFINE
+ - DEFINED
+ - DEFINER
+ - DEGREE
+ - DELAY
+ - DELETE
+ - DELETEXML
+ - DEMAND
+ - DENSE_RANK
+ - DENSE_RANKM
+ - DEPENDENT
+ - DEPTH
+ - DEQUEUE
+ - DEREF
+ - DEREF_NO_REWRITE
+ - DESC
+ - DETACHED
+ - DETERMINES
+ - DICTIONARY
+ - DIMENSION
+ - DIRECT_LOAD
+ - DIRECTORY
+ - DISABLE
+ - DISABLE_PRESET
+ - DISABLE_RPKE
+ - DISALLOW
+ - DISASSOCIATE
+ - DISCONNECT
+ - DISK
+ - DISKGROUP
+ - DISKS
+ - DISMOUNT
+ - DISTINCT
+ - DISTINGUISHED
+ - DISTRIBUTED
+ - DML
+ - DML_UPDATE
+ - DOCFIDELITY
+ - DOCUMENT
+ - DOMAIN_INDEX_FILTER
+ - DOMAIN_INDEX_NO_SORT
+ - DOMAIN_INDEX_SORT
+ - DOUBLE
+ - DOWNGRADE
+ - DRIVING_SITE
+ - DROP
+ - DROP_COLUMN
+ - DROP_GROUP
+ - DST_UPGRADE_INSERT_CONV
+ - DUMP
+ - DYNAMIC
+ - DYNAMIC_SAMPLING
+ - DYNAMIC_SAMPLING_EST_CDN
+ - EACH
+ - EDITION
+ - EDITIONING
+ - EDITIONS
+ - ELEMENT
+ - ELIMINATE_JOIN
+ - ELIMINATE_OBY
+ - ELIMINATE_OUTER_JOIN
+ - ELSE
+ - ELSIF
+ - EMPTY
+ - EMPTY_BLOB
+ - EMPTY_CLOB
+ - ENABLE
+ - ENABLE_PRESET
+ - ENCODING
+ - ENCRYPT
+ - ENCRYPTION
+ - END
+ - END_OUTLINE_DATA
+ - ENFORCE
+ - ENFORCED
+ - ENQUEUE
+ - ENTERPRISE
+ - ENTITYESCAPING
+ - ENTRY
+ - ERROR
+ - ERROR_ARGUMENT
+ - ERROR_ON_OVERLAP_TIME
+ - ERRORS
+ - ESCAPE
+ - ESTIMATE
+ - EVALNAME
+ - EVALUATION
+ - EVENTS
+ - EVERY
+ - EXCEPT
+ - EXCEPTIONS
+ - EXCHANGE
+ - EXCLUDE
+ - EXCLUDING
+ - EXCLUSIVE
+ - EXECUTE
+ - EXEMPT
+ - EXISTS
+ - EXISTSNODE
+ - EXP
+ - EXPAND_GSET_TO_UNION
+ - EXPAND_TABLE
+ - EXPIRE
+ - EXPLAIN
+ - EXPLOSION
+ - EXPORT
+ - EXPR_CORR_CHECK
+ - EXTENDS
+ - EXTENT
+ - EXTENTS
+ - EXTERNAL
+ - EXTERNALLY
+ - EXTRA
+ - EXTRACT
+ - EXTRACTVALUE
+ - FACILITY
+ - FACT
+ - FACTORIZE_JOIN
+ - FAILED
+ - FAILED_LOGIN_ATTEMPTS
+ - FAILGROUP
+ - FALSE
+ - FAST
+ - FBTSCAN
+ - FEATURE_ID
+ - FEATURE_SET
+ - FEATURE_VALUE
+ - FILE
+ - FILESYSTEM_LIKE_LOGGING
+ - FILTER
+ - FINAL
+ - FINE
+ - FINISH
+ - FIRST
+ - FIRSTM
+ - FIRST_ROWS
+ - FIRST_VALUE
+ - FLAGGER
+ - FLASHBACK
+ - FLASH_CACHE
+ - FLOAT
+ - FLOB
+ - FLOOR
+ - FLUSH
+ - FOLDER
+ - FOLLOWING
+ - FOLLOWS
+ - FOR
+ - FORCE
+ - FORCE_XML_QUERY_REWRITE
+ - FOREIGN
+ - FOREVER
+ - FORWARD
+ - FREELIST
+ - FREELISTS
+ - FREEPOOLS
+ - FRESH
+ - FROM
+ - FROM_TZ
+ - FULL
+ - FUNCTION
+ - FUNCTIONS
+ - GATHER_PLAN_STATISTICS
+ - GBY_CONC_ROLLUP
+ - GBY_PUSHDOWN
+ - GENERATED
+ - GLOBAL
+ - GLOBALLY
+ - GLOBAL_NAME
+ - GLOBAL_TOPIC_ENABLED
+ - GRANT
+ - GREATEST
+ - GROUP
+ - GROUP_BY
+ - GROUP_ID
+ - GROUPING
+ - GROUPING_ID
+ - GROUPS
+ - GUARANTEE
+ - GUARANTEED
+ - GUARD
+ - HASH
+ - HASH_AJ
+ - HASHKEYS
+ - HASH_SJ
+ - HAVING
+ - HEADER
+ - HEAP
+ - HELP
+ - HEXTORAW
+ - HEXTOREF
+ - HIDDEN
+ - HIDE
+ - HIERARCHY
+ - HIGH
+ - HINTSET_BEGIN
+ - HINTSET_END
+ - HOT
+ - HOUR
+ - HWM_BROKERED
+ - HYBRID
+ - ID
+ - IDENTIFIED
+ - IDENTIFIER
+ - IDENTITY
+ - IDGENERATORS
+ - IDLE_TIME
+ - IF
+ - IGNORE
+ - IGNORE_OPTIM_EMBEDDED_HINTS
+ - IGNORE_ROW_ON_DUPKEY_INDEX
+ - IGNORE_WHERE_CLAUSE
+ - IMMEDIATE
+ - IMPACT
+ - IMPORT
+ - IN
+ - INCLUDE
+ - INCLUDE_VERSION
+ - INCLUDING
+ - INCR
+ - INCREMENT
+ - INCREMENTAL
+ - INDENT
+ - INDEX
+ - INDEX_ASC
+ - INDEX_COMBINE
+ - INDEX_DESC
+ - INDEXED
+ - INDEXES
+ - INDEX_FFS
+ - INDEX_FILTER
+ - INDEX_JOIN
+ - INDEX_ROWS
+ - INDEX_RRS
+ - INDEX_RS
+ - INDEX_RS_ASC
+ - INDEX_RS_DESC
+ - INDEX_SCAN
+ - INDEX_SKIP_SCAN
+ - INDEX_SS
+ - INDEX_SS_ASC
+ - INDEX_SS_DESC
+ - INDEX_STATS
+ - INDEXTYPE
+ - INDEXTYPES
+ - INDICATOR
+ - INFINITE
+ - INFORMATIONAL
+ - INITCAP
+ - INITIAL
+ - INITIALIZED
+ - INITIALLY
+ - INITRANS
+ - INLINE
+ - INLINE_XMLTYPE_NT
+ - IN_MEMORY_METADATA
+ - INNER
+ - INSERT
+ - INSERTCHILDXML
+ - INSERTCHILDXMLAFTER
+ - INSERTCHILDXMLBEFORE
+ - INSERTXMLAFTER
+ - INSERTXMLBEFORE
+ - INSTANCE
+ - INSTANCES
+ - INSTANTIABLE
+ - INSTANTLY
+ - INSTEAD
+ - INSTR
+ - INSTRB
+ - INSTRC
+ - INSTR2
+ - INSTR4
+ - INT
+ - INTEGER
+ - INTERMEDIATE
+ - INTERNAL_CONVERT
+ - INTERNAL_USE
+ - INTERPRETED
+ - INTERSECT
+ - INTERVAL
+ - INTO
+ - INVALIDATE
+ - INVISIBLE
+ - IN_XQUERY
+ - IS
+ - ISOLATION
+ - ISOLATION_LEVEL
+ - ITERATE
+ - ITERATION_NUMBER
+ - JAVA
+ - JOB
+ - JOIN
+ - KEEP
+ - KEEP_DUPLICATES
+ - KERBEROS
+ - KEY
+ - KEY_LENGTH
+ - KEYS
+ - KEYSIZE
+ - KILL
+ - LAG
+ - LAST
+ - LAST_DAY
+ - LAST_VALUE
+ - LATERAL
+ - LAYER
+ - LDAP_REGISTRATION
+ - LDAP_REGISTRATION_ENABLED
+ - LDAP_REG_SYNC_INTERVAL
+ - LEAD
+ - LEADING
+ - LEAST
+ - LEFT
+ - LENGTH
+ - LENGTHB
+ - LENGTHC
+ - LENGTH2
+ - LENGTH4
+ - LESS
+ - LEVEL
+ - LEVELS
+ - LIBRARY
+ - LIFE
+ - LIFETIME
+ - LIKE
+ - LIKEC
+ - LIKE_EXPAND
+ - LIKE2
+ - LIKE4
+ - LIMIT
+ - LINK
+ - LIST
+ - LISTAGG
+ - LN
+ - LNNVL
+ - LOB
+ - LOBNVL
+ - LOBS
+ - LOCAL
+ - LOCAL_INDEXES
+ - LOCALTIME
+ - LOCALTIMESTAMP
+ - LOCATION
+ - LOCATOR
+ - LOCK
+ - LOCKED
+ - LOG
+ - LOGFILE
+ - LOGFILES
+ - LOGGING
+ - LOGICAL
+ - LOGICAL_READS_PER_CALL
+ - LOGICAL_READS_PER_SESSION
+ - LOGOFF
+ - LOGON
+ - LONG
+ - LOOP
+ - LOW
+ - LOWER
+ - LPAD
+ - LTRIM
+ - MAIN
+ - MAKE_REF
+ - MANAGE
+ - MANAGED
+ - MANAGEMENT
+ - MANUAL
+ - MAPPING
+ - MASTER
+ - MATCHED
+ - MATERIALIZE
+ - MATERIALIZED
+ - MAX
+ - MAXARCHLOGS
+ - MAXDATAFILES
+ - MAXEXTENTS
+ - MAXIMIZE
+ - MAXINSTANCES
+ - MAXLOGFILES
+ - MAXLOGHISTORY
+ - MAXLOGMEMBERS
+ - MAXSIZE
+ - MAXTRANS
+ - MAXVALUE
+ - MEASURE
+ - MEASURES
+ - MEDIAN
+ - MEDIUM
+ - MEMBER
+ - MEMORY
+ - MERGE
+ - MERGE$ACTIONS
+ - MERGE_AJ
+ - MERGE_CONST_ON
+ - MERGE_SJ
+ - METHOD
+ - MIGRATE
+ - MIGRATION
+ - MIN
+ - MINEXTENTS
+ - MINIMIZE
+ - MINIMUM
+ - MINING
+ - MINUS
+ - MINUS_NULL
+ - MINUTE
+ - MINVALUE
+ - MIRROR
+ - MIRRORCOLD
+ - MIRRORHOT
+ - MLSLABEL
+ - MOD
+ - MODE
+ - MODEL
+ - MODEL_COMPILE_SUBQUERY
+ - MODEL_DONTVERIFY_UNIQUENESS
+ - MODEL_DYNAMIC_SUBQUERY
+ - MODEL_MIN_ANALYSIS
+ - MODEL_NO_ANALYSIS
+ - MODEL_PBY
+ - MODEL_PUSH_REF
+ - MODIFY
+ - MONITOR
+ - MONITORING
+ - MONTH
+ - MONTHS_BETWEEN
+ - MOUNT
+ - MOUNTPATH
+ - MOVE
+ - MOVEMENT
+ - MULTISET
+ - MV_MERGE
+ - NAME
+ - NAMED
+ - NAMESPACE
+ - NAN
+ - NANVL
+ - NATIONAL
+ - NATIVE
+ - NATIVE_FULL_OUTER_JOIN
+ - NATURAL
+ - NAV
+ - NEEDED
+ - NESTED
+ - NESTED_TABLE_FAST_INSERT
+ - NESTED_TABLE_GET_REFS
+ - NESTED_TABLE_ID
+ - NESTED_TABLE_SET_REFS
+ - NESTED_TABLE_SET_SETID
+ - NETWORK
+ - NEVER
+ - NEW
+ - NEW_TIME
+ - NEXT
+ - NEXT_DAY
+ - NL_AJ
+ - NLJ_BATCHING
+ - NLJ_INDEX_FILTER
+ - NLJ_INDEX_SCAN
+ - NLJ_PREFETCH
+ - NLS_CALENDAR
+ - NLS_CHARACTERSET
+ - NLS_CHARSET_DECL_LEN
+ - NLS_CHARSET_ID
+ - NLS_CHARSET_NAME
+ - NLS_COMP
+ - NLS_CURRENCY
+ - NLS_DATE_FORMAT
+ - NLS_DATE_LANGUAGE
+ - NLS_INITCAP
+ - NLS_ISO_CURRENCY
+ - NL_SJ
+ - NLS_LANG
+ - NLS_LANGUAGE
+ - NLS_LENGTH_SEMANTICS
+ - NLS_LOWER
+ - NLS_NCHAR_CONV_EXCP
+ - NLS_NUMERIC_CHARACTERS
+ - NLS_SORT
+ - NLSSORT
+ - NLS_SPECIAL_CHARS
+ - NLS_TERRITORY
+ - NLS_UPPER
+ - NO
+ - NO_ACCESS
+ - NOAPPEND
+ - NOARCHIVELOG
+ - NOAUDIT
+ - NO_BASETABLE_MULTIMV_REWRITE
+ - NO_BIND_AWARE
+ - NO_BUFFER
+ - NOCACHE
+ - NO_CARTESIAN
+ - NO_CHECK_ACL_REWRITE
+ - NO_COALESCE_SQ
+ - NOCOMPRESS
+ - NO_CONNECT_BY_CB_WHR_ONLY
+ - NO_CONNECT_BY_COMBINE_SW
+ - NO_CONNECT_BY_COST_BASED
+ - NO_CONNECT_BY_ELIM_DUPS
+ - NO_CONNECT_BY_FILTERING
+ - NO_COST_XML_QUERY_REWRITE
+ - NO_CPU_COSTING
+ - NOCPU_COSTING
+ - NOCYCLE
+ - NODELAY
+ - NO_DOMAIN_INDEX_FILTER
+ - NO_DST_UPGRADE_INSERT_CONV
+ - NO_ELIMINATE_JOIN
+ - NO_ELIMINATE_OBY
+ - NO_ELIMINATE_OUTER_JOIN
+ - NOENTITYESCAPING
+ - NO_EXPAND
+ - NO_EXPAND_GSET_TO_UNION
+ - NO_EXPAND_TABLE
+ - NO_FACT
+ - NO_FACTORIZE_JOIN
+ - NO_FILTERING
+ - NOFORCE
+ - NO_GBY_PUSHDOWN
+ - NOGUARANTEE
+ - NO_INDEX
+ - NO_INDEX_FFS
+ - NO_INDEX_SS
+ - NO_LOAD
+ - NOLOCAL
+ - NOLOGGING
+ - NOMAPPING
+ - NOMAXVALUE
+ - NO_MERGE
+ - NOMINIMIZE
+ - NOMINVALUE
+ - NO_MODEL_PUSH_REF
+ - NO_MONITOR
+ - NO_MONITORING
+ - NOMONITORING
+ - NO_MULTIMV_REWRITE
+ - NO_NATIVE_FULL_OUTER_JOIN
+ - NONE
+ - NO_NLJ_BATCHING
+ - NO_NLJ_PREFETCH
+ - NONSCHEMA
+ - NOORDER
+ - NO_ORDER_ROLLUPS
+ - NO_OUTER_JOIN_TO_INNER
+ - NOOVERRIDE
+ - NO_PARALLEL
+ - NOPARALLEL
+ - NO_PARALLEL_INDEX
+ - NOPARALLEL_INDEX
+ - NO_PARTIAL_COMMIT
+ - NO_PLACE_DISTINCT
+ - NO_PLACE_GROUP_BY
+ - NO_PQ_MAP
+ - NO_PRUNE_GSETS
+ - NO_PULL_PRED
+ - NO_PUSH_PRED
+ - NO_PUSH_SUBQ
+ - NO_PX_JOIN_FILTER
+ - NO_QKN_BUFF
+ - NO_QUERY_TRANSFORMATION
+ - NO_REF_CASCADE
+ - NORELY
+ - NOREPAIR
+ - NORESETLOGS
+ - NO_RESULT_CACHE
+ - NOREVERSE
+ - NO_REWRITE
+ - NOREWRITE
+ - NORMAL
+ - NOROWDEPENDENCIES
+ - NOSCHEMACHECK
+ - NOSEGMENT
+ - NO_SEMIJOIN
+ - NO_SET_TO_JOIN
+ - NOSORT
+ - NO_SQL_TUNE
+ - NO_STAR_TRANSFORMATION
+ - NO_STATEMENT_QUEUING
+ - NO_STATS_GSETS
+ - NOSTRICT
+ - NO_SUBQUERY_PRUNING
+ - NO_SUBSTRB_PAD
+ - NO_SWAP_JOIN_INPUTS
+ - NOSWITCH
+ - NOT
+ - NO_TEMP_TABLE
+ - NOTHING
+ - NOTIFICATION
+ - NO_TRANSFORM_DISTINCT_AGG
+ - NO_UNNEST
+ - NO_USE_HASH
+ - NO_USE_HASH_AGGREGATION
+ - NO_USE_INVISIBLE_INDEXES
+ - NO_USE_MERGE
+ - NO_USE_NL
+ - NOVALIDATE
+ - NOWAIT
+ - NO_XML_DML_REWRITE
+ - NO_XMLINDEX_REWRITE
+ - NO_XMLINDEX_REWRITE_IN_SELECT
+ - NO_XML_QUERY_REWRITE
+ - NTH_VALUE
+ - NTILE
+ - NULL
+ - NULLIF
+ - NULLS
+ - NUMBER
+ - NUMERIC
+ - NUM_INDEX_KEYS
+ - NUMTODSINTERVAL
+ - NUMTOYMINTERVAL
+ - NVL
+ - NVL2
+ - OBJECT
+ - OBJECTTOXML
+ - OBJNO
+ - OBJNO_REUSE
+ - OCCURENCES
+ - OF
+ - OFF
+ - OFFLINE
+ - OID
+ - OIDINDEX
+ - OLAP
+ - OLD
+ - OLD_PUSH_PRED
+ - OLTP
+ - ON
+ - ONLINE
+ - ONLY
+ - OPAQUE
+ - OPAQUE_TRANSFORM
+ - OPAQUE_XCANONICAL
+ - OPCODE
+ - OPEN
+ - OPERATIONS
+ - OPERATOR
+ - OPT_ESTIMATE
+ - OPTIMAL
+ - OPTIMIZER_FEATURES_ENABLE
+ - OPTIMIZER_GOAL
+ - OPTION
+ - OPT_PARAM
+ - OR
+ - ORA_BRANCH
+ - ORA_CHECKACL
+ - ORADEBUG
+ - ORA_DST_AFFECTED
+ - ORA_DST_CONVERT
+ - ORA_DST_ERROR
+ - ORA_GET_ACLIDS
+ - ORA_GET_PRIVILEGES
+ - ORA_HASH
+ - ORA_ROWSCN
+ - ORA_ROWSCN_RAW
+ - ORA_ROWVERSION
+ - ORA_TABVERSION
+ - ORDER
+ - ORDERED
+ - ORDERED_PREDICATES
+ - ORDINALITY
+ - OR_EXPAND
+ - ORGANIZATION
+ - OR_PREDICATES
+ - OTHER
+ - OUTER
+ - OUTER_JOIN_TO_INNER
+ - OUTLINE
+ - OUTLINE_LEAF
+ - OUT_OF_LINE
+ - OVER
+ - OVERFLOW
+ - OVERFLOW_NOMOVE
+ - OVERLAPS
+ - OWN
+ - OWNER
+ - OWNERSHIP
+ - PACKAGE
+ - PACKAGES
+ - PARALLEL
+ - PARALLEL_INDEX
+ - PARAM
+ - PARAMETERS
+ - PARENT
+ - PARITY
+ - PARTIALLY
+ - PARTITION
+ - PARTITION_HASH
+ - PARTITION_LIST
+ - PARTITION_RANGE
+ - PARTITIONS
+ - PART$NUM$INST
+ - PASSING
+ - PASSWORD
+ - PASSWORD_GRACE_TIME
+ - PASSWORD_LIFE_TIME
+ - PASSWORD_LOCK_TIME
+ - PASSWORD_REUSE_MAX
+ - PASSWORD_REUSE_TIME
+ - PASSWORD_VERIFY_FUNCTION
+ - PATH
+ - PATHS
+ - PBL_HS_BEGIN
+ - PBL_HS_END
+ - PCTFREE
+ - PCTINCREASE
+ - PCTTHRESHOLD
+ - PCTUSED
+ - PCTVERSION
+ - PENDING
+ - PERCENT
+ - PERCENTILE_CONT
+ - PERCENTILE_DISC
+ - PERCENT_RANK
+ - PERCENT_RANKM
+ - PERFORMANCE
+ - PERMANENT
+ - PERMISSION
+ - PFILE
+ - PHYSICAL
+ - PIKEY
+ - PIV_GB
+ - PIVOT
+ - PIV_SSF
+ - PLACE_DISTINCT
+ - PLACE_GROUP_BY
+ - PLAN
+ - PLSCOPE_SETTINGS
+ - PLSQL_CCFLAGS
+ - PLSQL_CODE_TYPE
+ - PLSQL_DEBUG
+ - PLSQL_OPTIMIZE_LEVEL
+ - PLSQL_WARNINGS
+ - POINT
+ - POLICY
+ - POST_TRANSACTION
+ - POWER
+ - POWERMULTISET
+ - POWERMULTISET_BY_CARDINALITY
+ - PQ_DISTRIBUTE
+ - PQ_MAP
+ - PQ_NOMAP
+ - PREBUILT
+ - PRECEDES
+ - PRECEDING
+ - PRECISION
+ - PRECOMPUTE_SUBQUERY
+ - PREDICATE_REORDERS
+ - PREDICTION
+ - PREDICTION_BOUNDS
+ - PREDICTION_COST
+ - PREDICTION_DETAILS
+ - PREDICTION_PROBABILITY
+ - PREDICTION_SET
+ - PREPARE
+ - PRESENT
+ - PRESENTNNV
+ - PRESENTV
+ - PRESERVE
+ - PRESERVE_OID
+ - PREVIOUS
+ - PRIMARY
+ - PRIOR
+ - PRIVATE
+ - PRIVATE_SGA
+ - PRIVILEGE
+ - PRIVILEGES
+ - PROCEDURAL
+ - PROCEDURE
+ - PROCESS
+ - PROFILE
+ - PROGRAM
+ - PROJECT
+ - PROMPT
+ - PROPAGATE
+ - PROTECTED
+ - PROTECTION
+ - PUBLIC
+ - PULL_PRED
+ - PURGE
+ - PUSH_PRED
+ - PUSH_SUBQ
+ - PX_GRANULE
+ - PX_JOIN_FILTER
+ - QB_NAME
+ - QUERY
+ - QUERY_BLOCK
+ - QUEUE
+ - QUEUE_CURR
+ - QUEUE_ROWP
+ - QUIESCE
+ - QUORUM
+ - QUOTA
+ - RANDOM
+ - RANDOM_LOCAL
+ - RANGE
+ - RANK
+ - RANKM
+ - RAPIDLY
+ - RATIO_TO_REPORT
+ - RAW
+ - RAWTOHEX
+ - RAWTONHEX
+ - RBA
+ - RBO_OUTLINE
+ - RDBA
+ - READ
+ - READS
+ - REAL
+ - REBALANCE
+ - REBUILD
+ - RECORDS_PER_BLOCK
+ - RECOVER
+ - RECOVERABLE
+ - RECOVERY
+ - RECYCLE
+ - RECYCLEBIN
+ - REDO
+ - REDUCED
+ - REDUNDANCY
+ - REF
+ - REF_CASCADE_CURSOR
+ - REFERENCE
+ - REFERENCED
+ - REFERENCES
+ - REFERENCING
+ - REFRESH
+ - REFTOHEX
+ - REGEXP_COUNT
+ - REGEXP_INSTR
+ - REGEXP_LIKE
+ - REGEXP_REPLACE
+ - REGEXP_SUBSTR
+ - REGISTER
+ - REGR_AVGX
+ - REGR_AVGY
+ - REGR_COUNT
+ - REGR_INTERCEPT
+ - REGR_R2
+ - REGR_SLOPE
+ - REGR_SXX
+ - REGR_SXY
+ - REGR_SYY
+ - REGULAR
+ - REJECT
+ - REKEY
+ - RELATIONAL
+ - RELY
+ - REMAINDER
+ - REMOTE_MAPPED
+ - REMOVE
+ - RENAME
+ - REPAIR
+ - REPEAT
+ - REPLACE
+ - REPLICATION
+ - REQUIRED
+ - RESET
+ - RESETLOGS
+ - RESIZE
+ - RESOLVE
+ - RESOLVER
+ - RESOURCE
+ - RESPECT
+ - RESTORE
+ - RESTORE_AS_INTERVALS
+ - RESTRICT
+ - RESTRICT_ALL_REF_CONS
+ - RESTRICTED
+ - RESULT_CACHE
+ - RESUMABLE
+ - RESUME
+ - RETENTION
+ - RETRY_ON_ROW_CHANGE
+ - RETURN
+ - RETURNING
+ - REUSE
+ - REVERSE
+ - REVOKE
+ - REWRITE
+ - REWRITE_OR_ERROR
+ - RIGHT
+ - ROLE
+ - ROLES
+ - ROLLBACK
+ - ROLLING
+ - ROLLUP
+ - ROUND
+ - ROW
+ - ROWDEPENDENCIES
+ - ROWID
+ - ROWIDTOCHAR
+ - ROWIDTONCHAR
+ - ROW_LENGTH
+ - ROWNUM
+ - ROW_NUMBER
+ - ROWS
+ - RPAD
+ - RTRIM
+ - RULE
+ - RULES
+ - SALT
+ - SAMPLE
+ - SAVE_AS_INTERVALS
+ - SAVEPOINT
+ - SB4
+ - SCALE
+ - SCALE_ROWS
+ - SCAN
+ - SCAN_INSTANCES
+ - SCHEDULER
+ - SCHEMA
+ - SCHEMACHECK
+ - SCN
+ - SCN_ASCENDING
+ - SCOPE
+ - SD_ALL
+ - SD_INHIBIT
+ - SD_SHOW
+ - SEARCH
+ - SECOND
+ - SECUREFILE
+ - SECUREFILE_DBA
+ - SECURITY
+ - SEED
+ - SEG_BLOCK
+ - SEG_FILE
+ - SEGMENT
+ - SELECT
+ - SELECTIVITY
+ - SEMIJOIN
+ - SEMIJOIN_DRIVER
+ - SEQUENCE
+ - SEQUENCED
+ - SEQUENTIAL
+ - SERIALIZABLE
+ - SERVERERROR
+ - SESSION
+ - SESSION_CACHED_CURSORS
+ - SESSIONS_PER_USER
+ - SESSIONTIMEZONE
+ - SESSIONTZNAME
+ - SET
+ - SETS
+ - SETTINGS
+ - SET_TO_JOIN
+ - SEVERE
+ - SHARE
+ - SHARED
+ - SHARED_POOL
+ - SHOW
+ - SHRINK
+ - SHUTDOWN
+ - SIBLINGS
+ - SID
+ - SIGN
+ - SIGNAL_COMPONENT
+ - SIGNAL_FUNCTION
+ - SIMPLE
+ - SIN
+ - SINGLE
+ - SINGLETASK
+ - SINH
+ - SIZE
+ - SKIP
+ - SKIP_EXT_OPTIMIZER
+ - SKIP_UNQ_UNUSABLE_IDX
+ - SKIP_UNUSABLE_INDEXES
+ - SMALLFILE
+ - SMALLINT
+ - SNAPSHOT
+ - SOME
+ - SORT
+ - SOUNDEX
+ - SOURCE
+ - SPACE
+ - SPECIFICATION
+ - SPFILE
+ - SPLIT
+ - SPREADSHEET
+ - SQL
+ - SQLLDR
+ - SQL_TRACE
+ - SQRT
+ - STALE
+ - STANDALONE
+ - STANDBY
+ - STANDBY_MAX_DATA_DELAY
+ - STAR
+ - START
+ - STAR_TRANSFORMATION
+ - STARTUP
+ - STATEMENT_ID
+ - STATEMENT_QUEUING
+ - STATEMENTS
+ - STATIC
+ - STATISTICS
+ - STATS_BINOMIAL_TEST
+ - STATS_CROSSTAB
+ - STATS_F_TEST
+ - STATS_KS_TEST
+ - STATS_MODE
+ - STATS_MW_TEST
+ - STATS_ONE_WAY_ANOVA
+ - STATS_T_TEST_INDEP
+ - STATS_T_TEST_INDEPU
+ - STATS_T_TEST_ONE
+ - STATS_T_TEST_PAIRED
+ - STATS_WSR_TEST
+ - STDDEV
+ - STDDEV_POP
+ - STDDEV_SAMP
+ - STOP
+ - STORAGE
+ - STORE
+ - STREAMS
+ - STRICT
+ - STRING
+ - STRIP
+ - STRIPE_COLUMNS
+ - STRIPE_WIDTH
+ - STRUCTURE
+ - SUBMULTISET
+ - SUBPARTITION
+ - SUBPARTITION_REL
+ - SUBPARTITIONS
+ - SUBQUERIES
+ - SUBQUERY_PRUNING
+ - SUBSTITUTABLE
+ - SUBSTR
+ - SUBSTRB
+ - SUBSTRC
+ - SUBSTR2
+ - SUBSTR4
+ - SUCCESSFUL
+ - SUMMARY
+ - SUPPLEMENTAL
+ - SUSPEND
+ - SWAP_JOIN_INPUTS
+ - SWITCH
+ - SWITCHOVER
+ - SYNC
+ - SYNCHRONOUS
+ - SYNONYM
+ - SYSASM
+ - SYS_AUDIT
+ - SYSAUX
+ - SYS_CHECKACL
+ - SYS_CONNECT_BY_PATH
+ - SYS_CONTEXT
+ - SYSDBA
+ - SYS_DBURIGEN
+ - SYS_DL_CURSOR
+ - SYS_DM_RXFORM_CHR
+ - SYS_DM_RXFORM_NUM
+ - SYS_DOM_COMPARE
+ - SYS_DST_PRIM2SEC
+ - SYS_DST_SEC2PRIM
+ - SYS_ET_BFILE_TO_RAW
+ - SYS_ET_BLOB_TO_IMAGE
+ - SYS_ET_IMAGE_TO_BLOB
+ - SYS_ET_RAW_TO_BFILE
+ - SYS_EXTPDTXT
+ - SYS_EXTRACT_UTC
+ - SYS_FBT_INSDEL
+ - SYS_FILTER_ACLS
+ - SYS_GET_ACLIDS
+ - SYS_GET_PRIVILEGES
+ - SYS_GETTOKENID
+ - SYS_GUID
+ - SYS_MAKEXML
+ - SYS_MAKE_XMLNODEID
+ - SYS_MKXMLATTR
+ - SYS_OP_ADTCONS
+ - SYS_OP_ADT2BIN
+ - SYS_OP_ALSCRVAL
+ - SYS_OP_ATG
+ - SYS_OP_BIN2ADT
+ - SYS_OP_BITVEC
+ - SYS_OP_BLOOM_FILTER
+ - SYS_OP_BLOOM_FILTER_LIST
+ - SYS_OP_BL2R
+ - SYS_OP_CAST
+ - SYS_OP_CEG
+ - SYS_OP_CL2C
+ - SYS_OP_COMBINED_HASH
+ - SYS_OP_COMP
+ - SYS_OP_CONVERT
+ - SYS_OP_COUNTCHG
+ - SYS_OP_CSCONV
+ - SYS_OP_CSCONVTEST
+ - SYS_OP_CSR
+ - SYS_OP_CSX_PATCH
+ - SYS_OP_C2C
+ - SYS_OP_DECOMP
+ - SYS_OP_DESCEND
+ - SYS_OP_DISTINCT
+ - SYS_OP_DRA
+ - SYS_OP_DUMP
+ - SYS_OP_ENFORCE_NOT_NULL$
+ - SYSOPER
+ - SYS_OP_EXTRACT
+ - SYS_OP_GROUPING
+ - SYS_OP_GUID
+ - SYS_OP_IIX
+ - SYS_OP_ITR
+ - SYS_OP_LBID
+ - SYS_OP_LOBLOC2BLOB
+ - SYS_OP_LOBLOC2CLOB
+ - SYS_OP_LOBLOC2ID
+ - SYS_OP_LOBLOC2NCLOB
+ - SYS_OP_LOBLOC2TYP
+ - SYS_OP_LSVI
+ - SYS_OP_LVL
+ - SYS_OP_MAKEOID
+ - SYS_OP_MAP_NONNULL
+ - SYS_OP_MSR
+ - SYS_OP_NICOMBINE
+ - SYS_OP_NIEXTRACT
+ - SYS_OP_NII
+ - SYS_OP_NIX
+ - SYS_OP_NOEXPAND
+ - SYS_OP_NTCIMG$
+ - SYS_OP_NUMTORAW
+ - SYS_OP_OIDVALUE
+ - SYS_OP_OPNSIZE
+ - SYS_OP_PAR
+ - SYS_OP_PARGID
+ - SYS_OP_PARGID_1
+ - SYS_OP_PAR_1
+ - SYS_OP_PIVOT
+ - SYS_OP_RAWTONUM
+ - SYS_OP_RDTM
+ - SYS_OP_REF
+ - SYS_OP_RMTD
+ - SYS_OP_ROWIDTOOBJ
+ - SYS_OP_RPB
+ - SYS_OP_R2O
+ - SYS_OPTLOBPRBSC
+ - SYS_OP_TOSETID
+ - SYS_OP_TPR
+ - SYS_OP_TRTB
+ - SYS_OPTXICMP
+ - SYS_OPTXQCASTASNQ
+ - SYS_OP_UNDESCEND
+ - SYS_OP_VECAND
+ - SYS_OP_VECBIT
+ - SYS_OP_VECOR
+ - SYS_OP_VECXOR
+ - SYS_OP_VERSION
+ - SYS_OP_VREF
+ - SYS_OP_VVD
+ - SYS_OP_XPTHATG
+ - SYS_OP_XPTHIDX
+ - SYS_OP_XPTHOP
+ - SYS_OP_XTXT2SQLT
+ - SYS_ORDERKEY_DEPTH
+ - SYS_ORDERKEY_MAXCHILD
+ - SYS_ORDERKEY_PARENT
+ - SYS_PARALLEL_TXN
+ - SYS_PATHID_IS_ATTR
+ - SYS_PATHID_IS_NMSPC
+ - SYS_PATHID_LASTNAME
+ - SYS_PATHID_LASTNMSPC
+ - SYS_PATH_REVERSE
+ - SYS_PXQEXTRACT
+ - SYS_RID_ORDER
+ - SYS_ROW_DELTA
+ - SYS_SC_2_XMLT
+ - SYS_SYNRCIREDO
+ - SYSTEM
+ - SYSTEM_DEFINED
+ - SYSTIMESTAMP
+ - SYS_TYPEID
+ - SYS_UMAKEXML
+ - SYS_XMLANALYZE
+ - SYS_XMLCONTAINS
+ - SYS_XMLCONV
+ - SYS_XMLEXNSURI
+ - SYS_XMLGEN
+ - SYS_XMLI_LOC_ISNODE
+ - SYS_XMLI_LOC_ISTEXT
+ - SYS_XMLLOCATOR_GETSVAL
+ - SYS_XMLNODEID
+ - SYS_XMLNODEID_GETCID
+ - SYS_XMLNODEID_GETLOCATOR
+ - SYS_XMLNODEID_GETOKEY
+ - SYS_XMLNODEID_GETPATHID
+ - SYS_XMLNODEID_GETPTRID
+ - SYS_XMLNODEID_GETRID
+ - SYS_XMLNODEID_GETSVAL
+ - SYS_XMLNODEID_GETTID
+ - SYS_XMLTRANSLATE
+ - SYS_XMLTYPE2SQL
+ - SYS_XMLT_2_SC
+ - SYS_XQ_ASQLCNV
+ - SYS_XQ_ATOMCNVCHK
+ - SYS_XQBASEURI
+ - SYS_XQCASTABLEERRH
+ - SYS_XQCODEPEQ
+ - SYS_XQCODEP2STR
+ - SYS_XQCONCAT
+ - SYS_XQCON2SEQ
+ - SYS_XQDELETE
+ - SYS_XQDFLTCOLATION
+ - SYS_XQDOC
+ - SYS_XQDOCURI
+ - SYS_XQED4URI
+ - SYS_XQENDSWITH
+ - SYS_XQERR
+ - SYS_XQERRH
+ - SYS_XQESHTMLURI
+ - SYS_XQEXLOBVAL
+ - SYS_XQEXSTWRP
+ - SYS_XQEXTRACT
+ - SYS_XQEXTRREF
+ - SYS_XQEXVAL
+ - SYS_XQFB2STR
+ - SYS_XQFNBOOL
+ - SYS_XQFNCMP
+ - SYS_XQFNDATIM
+ - SYS_XQFNLNAME
+ - SYS_XQFNNM
+ - SYS_XQFNNSURI
+ - SYS_XQFNPREDTRUTH
+ - SYS_XQFNQNM
+ - SYS_XQFNROOT
+ - SYS_XQFORMATNUM
+ - SYS_XQFTCONTAIN
+ - SYS_XQFUNCR
+ - SYS_XQGETCONTENT
+ - SYS_XQINDXOF
+ - SYS_XQINSERT
+ - SYS_XQINSPFX
+ - SYS_XQIRI2URI
+ - SYS_XQLANG
+ - SYS_XQLLNMFRMQNM
+ - SYS_XQMKNODEREF
+ - SYS_XQNILLED
+ - SYS_XQNODENAME
+ - SYS_XQNORMSPACE
+ - SYS_XQNORMUCODE
+ - SYS_XQ_NRNG
+ - SYS_XQNSPFRMQNM
+ - SYS_XQNSP4PFX
+ - SYS_XQPFXFRMQNM
+ - SYS_XQ_PKSQL2XML
+ - SYS_XQPOLYABS
+ - SYS_XQPOLYADD
+ - SYS_XQPOLYCEL
+ - SYS_XQPOLYCST
+ - SYS_XQPOLYCSTBL
+ - SYS_XQPOLYDIV
+ - SYS_XQPOLYFLR
+ - SYS_XQPOLYMOD
+ - SYS_XQPOLYMUL
+ - SYS_XQPOLYRND
+ - SYS_XQPOLYSQRT
+ - SYS_XQPOLYSUB
+ - SYS_XQPOLYUMUS
+ - SYS_XQPOLYUPLS
+ - SYS_XQPOLYVEQ
+ - SYS_XQPOLYVGE
+ - SYS_XQPOLYVGT
+ - SYS_XQPOLYVLE
+ - SYS_XQPOLYVLT
+ - SYS_XQPOLYVNE
+ - SYS_XQREF2VAL
+ - SYS_XQRENAME
+ - SYS_XQREPLACE
+ - SYS_XQRESVURI
+ - SYS_XQRNDHALF2EVN
+ - SYS_XQRSLVQNM
+ - SYS_XQRYENVPGET
+ - SYS_XQRYVARGET
+ - SYS_XQRYWRP
+ - SYS_XQSEQDEEPEQ
+ - SYS_XQSEQINSB
+ - SYS_XQSEQRM
+ - SYS_XQSEQRVS
+ - SYS_XQSEQSUB
+ - SYS_XQSEQTYPMATCH
+ - SYS_XQSEQ2CON
+ - SYS_XQSEQ2CON4XC
+ - SYS_XQSTARTSWITH
+ - SYS_XQSTATBURI
+ - SYS_XQSTRJOIN
+ - SYS_XQSTR2CODEP
+ - SYS_XQSUBSTRAFT
+ - SYS_XQSUBSTRBEF
+ - SYS_XQTOKENIZE
+ - SYS_XQTREATAS
+ - SYS_XQ_UPKXML2SQL
+ - SYS_XQXFORM
+ - TABLE
+ - TABLES
+ - TABLESPACE
+ - TABLESPACE_NO
+ - TABLE_STATS
+ - TABNO
+ - TBL$OR$IDX$PART$NUM
+ - TEMPFILE
+ - TEMPLATE
+ - TEMPORARY
+ - TEMP_TABLE
+ - TEST
+ - THAN
+ - THE
+ - THEN
+ - THREAD
+ - THROUGH
+ - TIME
+ - TIMEOUT
+ - TIMES
+ - TIMESTAMP
+ - TIME_ZONE
+ - TIMEZONE_ABBR
+ - TIMEZONE_HOUR
+ - TIMEZONE_MINUTE
+ - TIMEZONE_OFFSET
+ - TIMEZONE_REGION
+ - TIV_GB
+ - TIV_SSF
+ - TO
+ - TRACE
+ - TRACING
+ - TRACKING
+ - TRAILING
+ - TRANSACTION
+ - TRANSFORM_DISTINCT_AGG
+ - TRANSITION
+ - TRANSITIONAL
+ - TRANSLATE
+ - TREAT
+ - TRIGGER
+ - TRIGGERS
+ - TRIM
+ - TRUE
+ - TRUNC
+ - TRUNCATE
+ - TRUSTED
+ - TUNING
+ - TX
+ - TYPE
+ - TYPES
+ - TZ_OFFSET
+ - UBA
+ - UB2
+ - UID
+ - UNARCHIVED
+ - UNBOUND
+ - UNBOUNDED
+ - UNDEFINE
+ - UNDER
+ - UNDO
+ - UNDROP
+ - UNIFORM
+ - UNION
+ - UNIQUE
+ - UNISTR
+ - UNLIMITED
+ - UNLOCK
+ - UNNEST
+ - UNPACKED
+ - UNPIVOT
+ - UNPROTECTED
+ - UNQUIESCE
+ - UNRECOVERABLE
+ - UNRESTRICTED
+ - UNTIL
+ - UNUSABLE
+ - UNUSED
+ - UPDATABLE
+ - UPDATE
+ - UPDATED
+ - UPDATEXML
+ - UPD_INDEXES
+ - UPD_JOININDEX
+ - UPGRADE
+ - UPSERT
+ - UROWID
+ - USAGE
+ - USE
+ - USE_ANTI
+ - USE_CONCAT
+ - USE_HASH
+ - USE_HASH_AGGREGATION
+ - USE_INVISIBLE_INDEXES
+ - USE_MERGE
+ - USE_MERGE_CARTESIAN
+ - USE_NL
+ - USE_NL_WITH_INDEX
+ - USE_PRIVATE_OUTLINES
+ - USER_DEFINED
+ - USERGROUP
+ - USER_RECYCLEBIN
+ - USERS
+ - USE_SEMI
+ - USE_STORED_OUTLINES
+ - USE_TTT_FOR_GSETS
+ - USE_WEAK_NAME_RESL
+ - USING
+ - VALIDATE
+ - VALIDATION
+ - VALUE
+ - VALUES
+ - VARIANCE
+ - VAR_POP
+ - VARRAYS
+ - VARIABLE
+ - VAR_SAMP
+ - VARYING
+ - VECTOR_READ
+ - VECTOR_READ_TRACE
+ - VERIFY
+ - VERSION
+ - VERSIONING
+ - VERSIONS
+ - VERSIONS_ENDSCN
+ - VERSIONS_ENDTIME
+ - VERSIONS_OPERATION
+ - VERSIONS_STARTSCN
+ - VERSIONS_STARTTIME
+ - VERSIONS_XID
+ - VIEW
+ - VIRTUAL
+ - VISIBLE
+ - VOLUME
+ - VSIZE
+ - WAIT
+ - WALLET
+ - WELLFORMED
+ - WHEN
+ - WHENEVER
+ - WHERE
+ - WHILE
+ - WHITESPACE
+ - WIDTH_BUCKET
+ - WITH
+ - WITHIN
+ - WITHOUT
+ - WORK
+ - WRAPPED
+ - WRITE
+ - X_DYN_PRUNE
+ - XID
+ - XML
+ - XMLATTRIBUTES
+ - XMLCAST
+ - XMLCDATA
+ - XMLCOLATTVAL
+ - XMLCOMMENT
+ - XMLCONCAT
+ - XMLDIFF
+ - XML_DML_RWT_STMT
+ - XMLELEMENT
+ - XMLEXISTS
+ - XMLEXISTS2
+ - XMLFOREST
+ - XMLINDEX_REWRITE
+ - XMLINDEX_REWRITE_IN_SELECT
+ - XMLINDEX_SEL_IDX_TBL
+ - XMLISNODE
+ - XMLISVALID
+ - XMLNAMESPACES
+ - XMLPARSE
+ - XMLPATCH
+ - XMLPI
+ - XMLQUERY
+ - XMLROOT
+ - XMLSCHEMA
+ - XMLSERIALIZE
+ - XMLTABLE
+ - XMLTOOBJECT
+ - XMLTRANSFORM
+ - XMLTRANSFORMBLOB
+ - XMLTYPE
+ - XPATHTABLE
+ - XS_SYS_CONTEXT
+ - YEAR
+ - YES
+ - ZONE
- - +
- - -
- - *
- - /
- - ||
- - =
- - !=
- - ^=
- - <>
- - <
- - <=
- - >
- - >=
- - :=
- - =>
- - **
- - ..
+ - +
+ - -
+ - *
+ - /
+ - ||
+ - =
+ - !=
+ - ^=
+ - <>
+ - <
+ - <=
+ - >
+ - >=
+ - :=
+ - =>
+ - **
+ - ..
- - ABS
- - ACOS
- - ADD_MONTHS
- - ASCII
- - ASCIISTR
- - ASIN
- - ATAN
- - ATAN2
- - AVG
- - BFILENAME
- - BIN_TO_NUM
- - BITAND
- - CARDINALITY
- - CAST
- - CEIL
- - CHARTOROWID
- - CHR
- - COALESCE
- - COLLECT
- - COMPOSE
- - CONCAT
- - CONVERT
- - CONTAINS
- - CORR
- - CORR_K
- - CORR_S
- - COS
- - COSH
- - COUNT
- - COVAR_POP
- - COVAR_SAMP
- - CUME_DIST
- - CURRENT_DATE
- - CURRENT_TIMESTAMP
- - CV
- - DBTIMEZONE
- - DECODE
- - DECOMPOSE
- - DENSE_RANK
- - DEPTH
- - DEREF
- - DUMP
- - EMPTY_BLOB
- - EMPTY_CLOB
- - EXISTSNODE
- - EXP
- - EXTRACT
- - EXTRACTVALUE
- - FIRST
- - FIRST_VALUE
- - FLOOR
- - FROM_TZ
- - GREATEST
- - GROUP_ID
- - GROUPING
- - GROUPING_ID
- - HEXTORAW
- - INITCAP
- - INSTR
- - INSTRB
- - LAG
- - LAST
- - LAST_DAY
- - LAST_VALUE
- - LEAD
- - LEAST
- - LENGTH
- - LENGTHB
- - LN
- - LNNVL
- - LOCALTIMESTAMP
- - LOG
- - LOWER
- - LPAD
- - LTRIM
- - MAKE_REF
- - MAX
- - MEDIAN
- - MIN
- - MOD
- - MONTHS_BETWEEN
- - NANVL
- - NCHR
- - NEW_TIME
- - NEXT_DAY
- - NLS_CHARSET_DECL_LEN
- - NLS_CHARSET_ID
- - NLS_CHARSET_NAME
- - NLS_INITCAP
- - NLS_LOWER
- - NLS_UPPER
- - NLSSORT
- - NTILE
- - NULLIF
- - NUMTODSINTERVAL
- - NUMTOYMINTERVAL
- - NVL
- - NVL2
- - ORA_HASH
- - ORA_ROWSCN
- - PERCENT_RANK
- - PERCENTILE_CONT
- - PERCENTILE_DISC
- - POWER
- - POWERMULTISET
- - POWERMULTISET_BY_CARDINALITY
- - PRESENTNNV
- - PRESENTV
- - RANK
- - RATIO_TO_REPORT
- - RAWTOHEX
- - RAWTONHEX
- - REF
- - REFTOHEX
- - REGEXP_INSTR
- - REGEXP_LIKE
- - REGEXP_REPLACE
- - REGEXP_SUBSTR
- - REGR_SLOPE
- - REGR_INTERCEPT
- - REGR_COUNT
- - REGR_R2
- - REGR_AVGX
- - REGR_AVGY
- - REGR_SXX
- - REGR_SYY
- - REGR_SXY
- - REMAINDER
- - ROUND
- - ROW_NUMBER
- - ROWIDTOCHAR
- - ROWIDTONCHAR
- - RPAD
- - RTRIM
- - SCN_TO_TIMESTAMP
- - SESSIONTIMEZONE
- - SIGN
- - SIN
- - SINH
- - SOUNDEX
- - SQRT
- - STATS_BINOMIAL_TEST
- - STATS_CROSSTAB
- - STATS_F_TEST
- - STATS_KS_TEST
- - STATS_MODE
- - STATS_MW_TEST
- - STATS_ONE_WAY_ANOVA
- - STATS_T_TEST_ONE
- - STATS_T_TEST_PAIRED
- - STATS_T_TEST_INDEP
- - STATS_T_TEST_INDEPU
- - STATS_WSR_TEST
- - STDDEV
- - STDDEV_POP
- - STDDEV_SAMP
- - SUBSTR
- - SUBSTRB
- - SUM
- - SYS_CONNECT_BY_PATH
- - SYS_CONTEXT
- - SYS_DBURIGEN
- - SYS_EXTRACT_UTC
- - SYS_GUID
- - SYS_TYPEID
- - SYS_XMLAGG
- - SYS_XMLGEN
- - SYSDATE
- - SYSTIMESTAMP
- - TAN
- - TANH
- - TIMESTAMP_TO_SCN
- - TO_BINARY_DOUBLE
- - TO_BINARY_FLOAT
- - TO_CHAR
- - TO_CLOB
- - TO_DATE
- - TO_DSINTERVAL
- - TO_LOB
- - TO_MULTI_BYTE
- - TO_NCHAR
- - TO_NCLOB
- - TO_NUMBER
- - TO_SINGLE_BYTE
- - TO_TIMESTAMP
- - TO_TIMESTAMP_TZ
- - TO_YMINTERVAL
- - TRANSLATE
- - TREAT
- - TRIM
- - TRUNC
- - TZ_OFFSET
- - UID
- - UNISTR
- - UPDATEXML
- - UPPER
- - USER
- - USERENV
- - VALUE
- - VAR_POP
- - VAR_SAMP
- - VARIANCE
- - VSIZE
- - WIDTH_BUCKET
- - XMLAGG
- - XMLCOLATTVAL
- - XMLCONCAT
- - XMLELEMENT
- - XMLFOREST
- - XMLSEQUENCE
- - XMLTRANSFORM
+ - ABS
+ - ACOS
+ - ADD_MONTHS
+ - ASCII
+ - ASCIISTR
+ - ASIN
+ - ATAN
+ - ATAN2
+ - AVG
+ - BFILENAME
+ - BIN_TO_NUM
+ - BITAND
+ - CARDINALITY
+ - CAST
+ - CEIL
+ - CHARTOROWID
+ - CHR
+ - COALESCE
+ - COLLECT
+ - COMPOSE
+ - CONCAT
+ - CONVERT
+ - CONTAINS
+ - CORR
+ - CORR_K
+ - CORR_S
+ - COS
+ - COSH
+ - COUNT
+ - COVAR_POP
+ - COVAR_SAMP
+ - CUME_DIST
+ - CURRENT_DATE
+ - CURRENT_TIMESTAMP
+ - CV
+ - DBTIMEZONE
+ - DECODE
+ - DECOMPOSE
+ - DENSE_RANK
+ - DEPTH
+ - DEREF
+ - DUMP
+ - EMPTY_BLOB
+ - EMPTY_CLOB
+ - EXISTSNODE
+ - EXP
+ - EXTRACT
+ - EXTRACTVALUE
+ - FIRST
+ - FIRST_VALUE
+ - FLOOR
+ - FROM_TZ
+ - GREATEST
+ - GROUP_ID
+ - GROUPING
+ - GROUPING_ID
+ - HEXTORAW
+ - INITCAP
+ - INSTR
+ - INSTRB
+ - LAG
+ - LAST
+ - LAST_DAY
+ - LAST_VALUE
+ - LEAD
+ - LEAST
+ - LENGTH
+ - LENGTHB
+ - LN
+ - LNNVL
+ - LOCALTIMESTAMP
+ - LOG
+ - LOWER
+ - LPAD
+ - LTRIM
+ - MAKE_REF
+ - MAX
+ - MEDIAN
+ - MIN
+ - MOD
+ - MONTHS_BETWEEN
+ - NANVL
+ - NCHR
+ - NEW_TIME
+ - NEXT_DAY
+ - NLS_CHARSET_DECL_LEN
+ - NLS_CHARSET_ID
+ - NLS_CHARSET_NAME
+ - NLS_INITCAP
+ - NLS_LOWER
+ - NLS_UPPER
+ - NLSSORT
+ - NTILE
+ - NULLIF
+ - NUMTODSINTERVAL
+ - NUMTOYMINTERVAL
+ - NVL
+ - NVL2
+ - ORA_HASH
+ - ORA_ROWSCN
+ - PERCENT_RANK
+ - PERCENTILE_CONT
+ - PERCENTILE_DISC
+ - POWER
+ - POWERMULTISET
+ - POWERMULTISET_BY_CARDINALITY
+ - PRESENTNNV
+ - PRESENTV
+ - RANK
+ - RATIO_TO_REPORT
+ - RAWTOHEX
+ - RAWTONHEX
+ - REF
+ - REFTOHEX
+ - REGEXP_INSTR
+ - REGEXP_LIKE
+ - REGEXP_REPLACE
+ - REGEXP_SUBSTR
+ - REGR_SLOPE
+ - REGR_INTERCEPT
+ - REGR_COUNT
+ - REGR_R2
+ - REGR_AVGX
+ - REGR_AVGY
+ - REGR_SXX
+ - REGR_SYY
+ - REGR_SXY
+ - REMAINDER
+ - ROUND
+ - ROW_NUMBER
+ - ROWIDTOCHAR
+ - ROWIDTONCHAR
+ - RPAD
+ - RTRIM
+ - SCN_TO_TIMESTAMP
+ - SESSIONTIMEZONE
+ - SIGN
+ - SIN
+ - SINH
+ - SOUNDEX
+ - SQRT
+ - STATS_BINOMIAL_TEST
+ - STATS_CROSSTAB
+ - STATS_F_TEST
+ - STATS_KS_TEST
+ - STATS_MODE
+ - STATS_MW_TEST
+ - STATS_ONE_WAY_ANOVA
+ - STATS_T_TEST_ONE
+ - STATS_T_TEST_PAIRED
+ - STATS_T_TEST_INDEP
+ - STATS_T_TEST_INDEPU
+ - STATS_WSR_TEST
+ - STDDEV
+ - STDDEV_POP
+ - STDDEV_SAMP
+ - SUBSTR
+ - SUBSTRB
+ - SUM
+ - SYS_CONNECT_BY_PATH
+ - SYS_CONTEXT
+ - SYS_DBURIGEN
+ - SYS_EXTRACT_UTC
+ - SYS_GUID
+ - SYS_TYPEID
+ - SYS_XMLAGG
+ - SYS_XMLGEN
+ - SYSDATE
+ - SYSTIMESTAMP
+ - TAN
+ - TANH
+ - TIMESTAMP_TO_SCN
+ - TO_BINARY_DOUBLE
+ - TO_BINARY_FLOAT
+ - TO_CHAR
+ - TO_CLOB
+ - TO_DATE
+ - TO_DSINTERVAL
+ - TO_LOB
+ - TO_MULTI_BYTE
+ - TO_NCHAR
+ - TO_NCLOB
+ - TO_NUMBER
+ - TO_SINGLE_BYTE
+ - TO_TIMESTAMP
+ - TO_TIMESTAMP_TZ
+ - TO_YMINTERVAL
+ - TRANSLATE
+ - TREAT
+ - TRIM
+ - TRUNC
+ - TZ_OFFSET
+ - UID
+ - UNISTR
+ - UPDATEXML
+ - UPPER
+ - USER
+ - USERENV
+ - VALUE
+ - VAR_POP
+ - VAR_SAMP
+ - VARIANCE
+ - VSIZE
+ - WIDTH_BUCKET
+ - XMLAGG
+ - XMLCOLATTVAL
+ - XMLCONCAT
+ - XMLELEMENT
+ - XMLFOREST
+ - XMLSEQUENCE
+ - XMLTRANSFORM
- - ANYDATA
- - ANYDATASET
- - ANYTYPE
- - ARRAY
- - BFILE
- - BINARY_DOUBLE
- - BINARY_FLOAT
- - BINARY_INTEGER
- - BLOB
- - BOOLEAN
- - CFILE
- - CHAR
- - CHARACTER
- - CLOB
- - DATE
- - DAY
- - DBURITYPE
- - DEC
- - DECIMAL
- - DOUBLE
- - FLOAT
- - FLOB
- - HTTPURITYPE
- - INT
- - INTEGER
- - INTERVAL
- - LOB
- - LONG
- - MLSLABEL
- - MONTH
- - NATIONAL
- - NCHAR
- - NCLOB
- - NUMBER
- - NUMERIC
- - NVARCHAR
- - OBJECT
- - PLS_INTEGER
- - PRECISION
- - RAW
- - RECORD
- - REFCURSOR
- - REAL
- - ROWID
- - SECOND
- - SINGLE
- - SMALLINT
- - TIME
- - TIMESTAMP
- - URIFACTORYTYPE
- - URITYPE
- - UROWID
- - VARCHAR
- - VARCHAR2
- - VARYING
- - VARRAY
- - XMLTYPE
- - YEAR
- - ZONE
+ - ANYDATA
+ - ANYDATASET
+ - ANYTYPE
+ - ARRAY
+ - BFILE
+ - BINARY
+ - BINARY_DOUBLE
+ - BINARY_DOUBLE_INFINITY
+ - BINARY_DOUBLE_NAN
+ - BINARY_FLOAT
+ - BINARY_FLOAT_INFINITY
+ - BINARY_FLOAT_NAN
+ - BLOB
+ - BOOLEAN
+ - CFILE
+ - CHAR
+ - CHARACTER
+ - CLOB
+ - DATE
+ - DBURITYPE
+ - DEC
+ - DECIMAL
+ - DOUBLE
+ - FLOAT
+ - HTTPURITYPE
+ - INT
+ - INTEGER
+ - INTERVAL
+ - LOB
+ - LONG
+ - MLSLABEL
+ - NCHAR
+ - NCLOB
+ - NUMBER
+ - NUMERIC
+ - NVARCHAR2
+ - OBJECT
+ - PLS_INTEGER
+ - PRECISION
+ - RAW
+ - RECORD
+ - REFCURSOR
+ - REAL
+ - ROWID
+ - SECOND
+ - SINGLE
+ - SMALLINT
+ - TIME
+ - TIMESTAMP
+ - URIFACTORYTYPE
+ - URITYPE
+ - UROWID
+ - VARCHAR
+ - VARCHAR2
+ - VARYING
+ - VARRAY
+ - XMLTYPE
+ - ZONE
-
-
-
-
-
-
+
+
+
+
@@ -2118,50 +2051,60 @@
-
+
-
+
+
+
-
+
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
+
+
-
-
+
+
-
+
-
+
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
@@ -2169,6 +2112,6 @@
-
+
diff --git a/kate/data/sql-postgresql.xml b/kate/data/sql-postgresql.xml
index e186e4b62..12146f5f3 100644
--- a/kate/data/sql-postgresql.xml
+++ b/kate/data/sql-postgresql.xml
@@ -1,762 +1,774 @@
-
-
+
+
- - ABORT
- - ACCESS
- - ACTION
- - ADD
- - ADMIN
- - AFTER
- - AGGREGATE
- - ALIAS
- - ALL
- - ALLOCATE
- - ALTER
- - ANALYSE
- - ANALYZE
- - ANY
- - ARE
- - AS
- - ASC
- - ASENSITIVE
- - ASSERTION
- - ASSIGNMENT
- - ASYMMETRIC
- - AT
- - ATOMIC
- - AUTHORIZATION
- - BACKWARD
- - BEFORE
- - BEGIN
- - BETWEEN
- - BINARY
- - BOTH
- - BREADTH
- - BY
- - C
- - CACHE
- - CALL
- - CALLED
- - CARDINALITY
- - CASCADE
- - CASCADED
- - CASE
- - CAST
- - CATALOG
- - CATALOG_NAME
- - CHAIN
- - CHARACTERISTICS
- - CHARACTER_LENGTH
- - CHARACTER_SET_CATALOG
- - CHARACTER_SET_NAME
- - CHARACTER_SET_SCHEMA
- - CHAR_LENGTH
- - CHECK
- - CHECKED
- - CHECKPOINT
- - CLASS
- - CLASS_ORIGIN
- - CLOB
- - CLOSE
- - CLUSTER
- - COALESCE
- - COBOL
- - COLLATE
- - COLLATION
- - COLLATION_CATALOG
- - COLLATION_NAME
- - COLLATION_SCHEMA
- - COLUMN
- - COLUMN_NAME
- - COMMAND_FUNCTION
- - COMMAND_FUNCTION_CODE
- - COMMENT
- - COMMIT
- - COMMITTED
- - COMPLETION
- - CONDITION_NUMBER
- - CONNECT
- - CONNECTION
- - CONNECTION_NAME
- - CONSTRAINTS
- - CONSTRAINT
- - CONSTRAINT_CATALOG
- - CONSTRAINT_NAME
- - CONSTRAINT_SCHEMA
- - CONSTRUCTOR
- - CONTAINS
- - CONTINUE
- - CONVERT
- - COPY
- - CORRESPONDING
- - COUNT
- - CREATE
- - CREATEDB
- - CREATEUSER
- - CROSS
- - CUBE
- - CURRENT
- - CURRENT_DATE
- - CURRENT_PATH
- - CURRENT_ROLE
- - CURRENT_TIME
- - CURRENT_TIMESTAMP
- - CURRENT_USER
- - CURSOR
- - CURSOR_NAME
- - CYCLE
- - DATA
- - DATABASE
- - DATE
- - DATETIME_INTERVAL_CODE
- - DATETIME_INTERVAL_PRECISION
- - DAY
- - DEALLOCATE
- - DEC
- - DECIMAL
- - DECLARE
- - DEFAULT
- - DEFERRABLE
- - DEFERRED
- - DEFINED
- - DEFINER
- - DELETE
- - DELIMITERS
- - DEPTH
- - DEREF
- - DESC
- - DESCRIBE
- - DESCRIPTOR
- - DESTROY
- - DESTRUCTOR
- - DETERMINISTIC
- - DIAGNOSTICS
- - DICTIONARY
- - DISCONNECT
- - DISPATCH
- - DISTINCT
- - DO
- - DOMAIN
- - DOUBLE
- - DROP
- - DYNAMIC
- - DYNAMIC_FUNCTION
- - DYNAMIC_FUNCTION_CODE
- - EACH
- - ELSE
- - ENCODING
- - ENCRYPTED
- - END
- - END-EXEC
- - EQUALS
- - ESCAPE
- - EVERY
- - EXCEPT
- - EXCEPTION
- - EXCLUSIVE
- - EXEC
- - EXECUTE
- - EXISTING
- - EXISTS
- - EXPLAIN
- - EXTERNAL
- - FALSE
- - FETCH
- - FINAL
- - FIRST
- - FOR
- - FORCE
- - FOREIGN
- - FORTRAN
- - FORWARD
- - FOUND
- - FREE
- - FREEZE
- - FROM
- - FULL
- - FUNCTION
- - G
- - GENERAL
- - GENERATED
- - GET
- - GLOBAL
- - GO
- - GOTO
- - GRANT
- - GRANTED
- - GROUP
- - GROUPING
- - HANDLER
- - HAVING
- - HIERARCHY
- - HOLD
- - HOST
- - HOUR
- - IDENTITY
- - IGNORE
- - ILIKE
- - IMMEDIATE
- - IMMUTABLE
- - IMPLEMENTATION
- - IN
- - INCREMENT
- - INDEX
- - INDICATOR
- - INFIX
- - INHERITS
- - INITIALIZE
- - INITIALLY
- - INNER
- - INOUT
- - INPUT
- - INSENSITIVE
- - INSERT
- - INSTANCE
- - INSTANTIABLE
- - INSTEAD
- - INTERSECT
- - INTERVAL
- - INTO
- - INVOKER
- - IS
- - ISNULL
- - ISOLATION
- - ITERATE
- - JOIN
- - K
- - KEY
- - KEY_MEMBER
- - KEY_TYPE
- - LANCOMPILER
- - LANGUAGE
- - LARGE
- - LAST
- - LATERAL
- - LEADING
- - LEFT
- - LENGTH
- - LESS
- - LEVEL
- - LIKE
- - LIMIT
- - LISTEN
- - LOAD
- - LOCAL
- - LOCALTIME
- - LOCALTIMESTAMP
- - LOCATION
- - LOCATOR
- - LOCK
- - LOWER
- - M
- - MAP
- - MATCH
- - MAX
- - MAXVALUE
- - MESSAGE_LENGTH
- - MESSAGE_OCTET_LENGTH
- - MESSAGE_TEXT
- - METHOD
- - MIN
- - MINUTE
- - MINVALUE
- - MOD
- - MODE
- - MODIFIES
- - MODIFY
- - MODULE
- - MONTH
- - MORE
- - MOVE
- - MUMPS
- - NAME
- - NAMES
- - NATIONAL
- - NATURAL
- - NEW
- - NEXT
- - NO
- - NOCREATEDB
- - NOCREATEUSER
- - NONE
- - NOT
- - NOTHING
- - NOTIFY
- - NOTNULL
- - NULL
- - NULLABLE
- - NULLIF
- - NUMBER
- - NUMERIC
- - OBJECT
- - OCTET_LENGTH
- - OF
- - OFF
- - OFFSET
- - OIDS
- - OLD
- - ON
- - ONLY
- - OPEN
- - OPERATION
- - OPERATOR
- - OPTION
- - OPTIONS
- - ORDER
- - ORDINALITY
- - OUT
- - OUTER
- - OUTPUT
- - OVERLAPS
- - OVERLAY
- - OVERRIDING
- - OWNER
- - PAD
- - PARAMETER
- - PARAMETERS
- - PARAMETER_MODE
- - PARAMETER_NAME
- - PARAMETER_ORDINAL_POSITION
- - PARAMETER_SPECIFIC_CATALOG
- - PARAMETER_SPECIFIC_NAME
- - PARAMETER_SPECIFIC_SCHEMA
- - PARTIAL
- - PASCAL
- - PASSWORD
- - PATH
- - PENDANT
- - PLI
- - POSITION
- - POSTFIX
- - PRECISION
- - PREFIX
- - PREORDER
- - PREPARE
- - PRESERVE
- - PRIMARY
- - PRIOR
- - PRIVILEGES
- - PROCEDURAL
- - PROCEDURE
- - PUBLIC
- - READ
- - READS
- - REAL
- - RECURSIVE
- - REF
- - REFERENCES
- - REFERENCING
- - REINDEX
- - RELATIVE
- - RENAME
- - REPEATABLE
- - REPLACE
- - RESET
- - RESTRICT
- - RESULT
- - RETURN
- - RETURNED_LENGTH
- - RETURNED_OCTET_LENGTH
- - RETURNED_SQLSTATE
- - RETURNS
- - REVOKE
- - RIGHT
- - ROLE
- - ROLLBACK
- - ROLLUP
- - ROUTINE
- - ROUTINE_CATALOG
- - ROUTINE_NAME
- - ROUTINE_SCHEMA
- - ROW
- - ROWS
- - ROW_COUNT
- - RULE
- - SAVEPOINT
- - SCALE
- - SCHEMA
- - SCHEMA_NAME
- - SCOPE
- - SCROLL
- - SEARCH
- - SECOND
- - SECTION
- - SECURITY
- - SELECT
- - SELF
- - SENSITIVE
- - SEQUENCE
- - SERIALIZABLE
- - SERVER_NAME
- - SESSION
- - SESSION_USER
- - SET
- - SETOF
- - SETS
- - SHARE
- - SHOW
- - SIMILAR
- - SIMPLE
- - SIZE
- - SOME
- - SOURCE
- - SPACE
- - SPECIFIC
- - SPECIFICTYPE
- - SPECIFIC_NAME
- - SQL
- - SQLCODE
- - SQLERROR
- - SQLEXCEPTION
- - SQLSTATE
- - SQLWARNING
- - STABLE
- - START
- - STATE
- - STATEMENT
- - STATIC
- - STATISTICS
- - STDIN
- - STDOUT
- - STRUCTURE
- - STYLE
- - SUBCLASS_ORIGIN
- - SUBLIST
- - SUBSTRING
- - SUM
- - SYMMETRIC
- - SYSID
- - SYSTEM
- - SYSTEM_USER
- - TABLE
- - TABLE_NAME
- - TEMP
- - TEMPLATE
- - TEMPORARY
- - TERMINATE
- - THAN
- - THEN
- - TIMEZONE_HOUR
- - TIMEZONE_MINUTE
- - TO
- - TOAST
- - TRAILING
- - TRANSACTION
- - TRANSACTIONS_COMMITTED
- - TRANSACTIONS_ROLLED_BACK
- - TRANSACTION_ACTIVE
- - TRANSFORM
- - TRANSFORMS
- - TRANSLATE
- - TRANSLATION
- - TREAT
- - TRIGGER
- - TRIGGER_CATALOG
- - TRIGGER_NAME
- - TRIGGER_SCHEMA
- - TRIM
- - TRUE
- - TRUNCATE
- - TRUSTED
- - TYPE
- - UNCOMMITTED
- - UNDER
- - UNENCRYPTED
- - UNION
- - UNIQUE
- - UNKNOWN
- - UNLISTEN
- - UNNAMED
- - UNNEST
- - UNTIL
- - UPDATE
- - UPPER
- - USAGE
- - USER
- - USER_DEFINED_TYPE_CATALOG
- - USER_DEFINED_TYPE_NAME
- - USER_DEFINED_TYPE_SCHEMA
- - USING
- - VACUUM
- - VALID
- - VALUE
- - VALUES
- - VARIABLE
- - VARYING
- - VERBOSE
- - VERSION
- - VIEW
- - VOLATILE
- - WHEN
- - WHENEVER
- - WHERE
- - WHILE
- - WITH
- - WITHOUT
- - WORK
- - WRITE
- - YEAR
- - ZONE
+ - ABORT
+ - ACCESS
+ - ACTION
+ - ADD
+ - ADMIN
+ - AFTER
+ - AGGREGATE
+ - ALIAS
+ - ALL
+ - ALLOCATE
+ - ALTER
+ - ANALYSE
+ - ANALYZE
+ - ANY
+ - ARE
+ - AS
+ - ASC
+ - ASENSITIVE
+ - ASSERTION
+ - ASSIGNMENT
+ - ASYMMETRIC
+ - AT
+ - ATOMIC
+ - ATTACH
+ - AUTHORIZATION
+ - BACKWARD
+ - BEFORE
+ - BEGIN
+ - BETWEEN
+ - BINARY
+ - BOTH
+ - BREADTH
+ - BY
+ - C
+ - CACHE
+ - CALL
+ - CALLED
+ - CARDINALITY
+ - CASCADE
+ - CASCADED
+ - CASE
+ - CAST
+ - CATALOG
+ - CATALOG_NAME
+ - CHAIN
+ - CHARACTERISTICS
+ - CHARACTER_LENGTH
+ - CHARACTER_SET_CATALOG
+ - CHARACTER_SET_NAME
+ - CHARACTER_SET_SCHEMA
+ - CHAR_LENGTH
+ - CHECK
+ - CHECKED
+ - CHECKPOINT
+ - CLASS
+ - CLASS_ORIGIN
+ - CLOB
+ - CLOSE
+ - CLUSTER
+ - COALESCE
+ - COBOL
+ - COLLATE
+ - COLLATION
+ - COLLATION_CATALOG
+ - COLLATION_NAME
+ - COLLATION_SCHEMA
+ - COLUMN
+ - COLUMN_NAME
+ - COMMAND_FUNCTION
+ - COMMAND_FUNCTION_CODE
+ - COMMENT
+ - COMMIT
+ - COMMITTED
+ - COMPLETION
+ - CONDITION_NUMBER
+ - CONNECT
+ - CONNECTION
+ - CONNECTION_NAME
+ - CONSTRAINTS
+ - CONSTRAINT
+ - CONSTRAINT_CATALOG
+ - CONSTRAINT_NAME
+ - CONSTRAINT_SCHEMA
+ - CONSTRUCTOR
+ - CONTAINS
+ - CONTINUE
+ - CONVERT
+ - COPY
+ - CORRESPONDING
+ - COUNT
+ - CREATE
+ - CREATEDB
+ - CREATEUSER
+ - CROSS
+ - CUBE
+ - CURRENT
+ - CURRENT_DATE
+ - CURRENT_PATH
+ - CURRENT_ROLE
+ - CURRENT_TIME
+ - CURRENT_TIMESTAMP
+ - CURRENT_USER
+ - CURSOR
+ - CURSOR_NAME
+ - CYCLE
+ - DATA
+ - DATABASE
+ - DATE
+ - DATETIME_INTERVAL_CODE
+ - DATETIME_INTERVAL_PRECISION
+ - DAY
+ - DEALLOCATE
+ - DEC
+ - DECIMAL
+ - DECLARE
+ - DEFAULT
+ - DEFERRABLE
+ - DEFERRED
+ - DEFINED
+ - DEFINER
+ - DELETE
+ - DELIMITERS
+ - DEPTH
+ - DEREF
+ - DESC
+ - DESCRIBE
+ - DESCRIPTOR
+ - DESTROY
+ - DESTRUCTOR
+ - DETACH
+ - DETERMINISTIC
+ - DIAGNOSTICS
+ - DICTIONARY
+ - DISCONNECT
+ - DISPATCH
+ - DISTINCT
+ - DO
+ - DOMAIN
+ - DOUBLE
+ - DROP
+ - DYNAMIC
+ - DYNAMIC_FUNCTION
+ - DYNAMIC_FUNCTION_CODE
+ - EACH
+ - ELSE
+ - ENCODING
+ - ENCRYPTED
+ - END
+ - END-EXEC
+ - EQUALS
+ - ESCAPE
+ - EVERY
+ - EXCEPT
+ - EXCEPTION
+ - EXCLUSIVE
+ - EXEC
+ - EXECUTE
+ - EXISTING
+ - EXISTS
+ - EXPLAIN
+ - EXTERNAL
+ - FALSE
+ - FETCH
+ - FINAL
+ - FIRST
+ - FOR
+ - FORCE
+ - FOREIGN
+ - FORTRAN
+ - FORWARD
+ - FOUND
+ - FREE
+ - FREEZE
+ - FROM
+ - FULL
+ - FUNCTION
+ - G
+ - GENERAL
+ - GENERATED
+ - GET
+ - GLOBAL
+ - GO
+ - GOTO
+ - GRANT
+ - GRANTED
+ - GROUP
+ - GROUPING
+ - HANDLER
+ - HAVING
+ - HIERARCHY
+ - HOLD
+ - HOST
+ - HOUR
+ - IDENTITY
+ - IGNORE
+ - ILIKE
+ - IMMEDIATE
+ - IMMUTABLE
+ - IMPLEMENTATION
+ - IN
+ - INCREMENT
+ - INDEX
+ - INDICATOR
+ - INFIX
+ - INHERITS
+ - INITIALIZE
+ - INITIALLY
+ - INNER
+ - INOUT
+ - INPUT
+ - INSENSITIVE
+ - INSERT
+ - INSTANCE
+ - INSTANTIABLE
+ - INSTEAD
+ - INTERSECT
+ - INTERVAL
+ - INTO
+ - INVOKER
+ - IS
+ - ISNULL
+ - ISOLATION
+ - ITERATE
+ - JOIN
+ - K
+ - KEY
+ - KEY_MEMBER
+ - KEY_TYPE
+ - LANCOMPILER
+ - LANGUAGE
+ - LARGE
+ - LAST
+ - LATERAL
+ - LEADING
+ - LEFT
+ - LENGTH
+ - LESS
+ - LEVEL
+ - LIKE
+ - LIMIT
+ - LISTEN
+ - LOAD
+ - LOCAL
+ - LOCALTIME
+ - LOCALTIMESTAMP
+ - LOCATION
+ - LOCATOR
+ - LOCK
+ - LOWER
+ - M
+ - MAP
+ - MATCH
+ - MAX
+ - MAXVALUE
+ - MESSAGE_LENGTH
+ - MESSAGE_OCTET_LENGTH
+ - MESSAGE_TEXT
+ - METHOD
+ - MIN
+ - MINUTE
+ - MINVALUE
+ - MOD
+ - MODE
+ - MODIFIES
+ - MODIFY
+ - MODULE
+ - MONTH
+ - MORE
+ - MOVE
+ - MUMPS
+ - NAME
+ - NAMES
+ - NATIONAL
+ - NATURAL
+ - NEW
+ - NEXT
+ - NO
+ - NOCREATEDB
+ - NOCREATEUSER
+ - NONE
+ - NOT
+ - NOTHING
+ - NOTIFY
+ - NOTNULL
+ - NULL
+ - NULLABLE
+ - NULLIF
+ - NUMBER
+ - NUMERIC
+ - OBJECT
+ - OCTET_LENGTH
+ - OF
+ - OFF
+ - OFFSET
+ - OIDS
+ - OLD
+ - ON
+ - ONLY
+ - OPEN
+ - OPERATION
+ - OPERATOR
+ - OPTION
+ - OPTIONS
+ - ORDER
+ - ORDINALITY
+ - OUT
+ - OUTER
+ - OUTPUT
+ - OVER
+ - OVERLAPS
+ - OVERLAY
+ - OVERRIDING
+ - OWNER
+ - PAD
+ - PARAMETER
+ - PARAMETERS
+ - PARAMETER_MODE
+ - PARAMETER_NAME
+ - PARAMETER_ORDINAL_POSITION
+ - PARAMETER_SPECIFIC_CATALOG
+ - PARAMETER_SPECIFIC_NAME
+ - PARAMETER_SPECIFIC_SCHEMA
+ - PARTIAL
+ - PARTITION
+ - PASCAL
+ - PASSWORD
+ - PATH
+ - PENDANT
+ - PLI
+ - POSITION
+ - POSTFIX
+ - PRECISION
+ - PREFIX
+ - PREORDER
+ - PREPARE
+ - PRESERVE
+ - PRIMARY
+ - PRIOR
+ - PRIVILEGES
+ - PROCEDURAL
+ - PROCEDURE
+ - PUBLIC
+ - RANGE
+ - READ
+ - READS
+ - REAL
+ - RECURSIVE
+ - REF
+ - REFERENCES
+ - REFERENCING
+ - REINDEX
+ - RELATIVE
+ - RENAME
+ - REPEATABLE
+ - REPLACE
+ - RESET
+ - RESTRICT
+ - RESULT
+ - RETURN
+ - RETURNED_LENGTH
+ - RETURNED_OCTET_LENGTH
+ - RETURNED_SQLSTATE
+ - RETURNS
+ - REVOKE
+ - RIGHT
+ - ROLE
+ - ROLLBACK
+ - ROLLUP
+ - ROUTINE
+ - ROUTINE_CATALOG
+ - ROUTINE_NAME
+ - ROUTINE_SCHEMA
+ - ROW
+ - ROWS
+ - ROW_COUNT
+ - RULE
+ - SAVEPOINT
+ - SCALE
+ - SCHEMA
+ - SCHEMA_NAME
+ - SCOPE
+ - SCROLL
+ - SEARCH
+ - SECOND
+ - SECTION
+ - SECURITY
+ - SELECT
+ - SELF
+ - SENSITIVE
+ - SEQUENCE
+ - SERIALIZABLE
+ - SERVER_NAME
+ - SESSION
+ - SESSION_USER
+ - SET
+ - SETOF
+ - SETS
+ - SHARE
+ - SHOW
+ - SIMILAR
+ - SIMPLE
+ - SIZE
+ - SOME
+ - SOURCE
+ - SPACE
+ - SPECIFIC
+ - SPECIFICTYPE
+ - SPECIFIC_NAME
+ - SQL
+ - SQLCODE
+ - SQLERROR
+ - SQLEXCEPTION
+ - SQLSTATE
+ - SQLWARNING
+ - STABLE
+ - START
+ - STATE
+ - STATEMENT
+ - STATIC
+ - STATISTICS
+ - STDIN
+ - STDOUT
+ - STRUCTURE
+ - STYLE
+ - SUBCLASS_ORIGIN
+ - SUBLIST
+ - SUBSTRING
+ - SUM
+ - SYMMETRIC
+ - SYSID
+ - SYSTEM
+ - SYSTEM_USER
+ - TABLE
+ - TABLE_NAME
+ - TEMP
+ - TEMPLATE
+ - TEMPORARY
+ - TERMINATE
+ - THAN
+ - THEN
+ - TIMEZONE_HOUR
+ - TIMEZONE_MINUTE
+ - TO
+ - TOAST
+ - TRAILING
+ - TRANSACTION
+ - TRANSACTIONS_COMMITTED
+ - TRANSACTIONS_ROLLED_BACK
+ - TRANSACTION_ACTIVE
+ - TRANSFORM
+ - TRANSFORMS
+ - TRANSLATE
+ - TRANSLATION
+ - TREAT
+ - TRIGGER
+ - TRIGGER_CATALOG
+ - TRIGGER_NAME
+ - TRIGGER_SCHEMA
+ - TRIM
+ - TRUE
+ - TRUNCATE
+ - TRUSTED
+ - TYPE
+ - UNCOMMITTED
+ - UNDER
+ - UNENCRYPTED
+ - UNION
+ - UNIQUE
+ - UNKNOWN
+ - UNLISTEN
+ - UNNAMED
+ - UNNEST
+ - UNTIL
+ - UPDATE
+ - UPPER
+ - USAGE
+ - USER
+ - USER_DEFINED_TYPE_CATALOG
+ - USER_DEFINED_TYPE_NAME
+ - USER_DEFINED_TYPE_SCHEMA
+ - USING
+ - VACUUM
+ - VALID
+ - VALUE
+ - VALUES
+ - VARIABLE
+ - VARYING
+ - VERBOSE
+ - VERSION
+ - VIEW
+ - VOLATILE
+ - WHEN
+ - WHENEVER
+ - WHERE
+ - WHILE
+ - WITH
+ - WITHOUT
+ - WORK
+ - WRITE
+ - YEAR
+ - ZONE
- - +
- - -
- - *
- - /
- - ||
- - |/
- - ||/
- - !
- - !!
- - @
- - &
- - |
- - #
- - <<
- - >>
- - %
- - ^
- - =
- - !=
- - <>
- - <
- - <=
- - >
- - >=
- - ~
- - ~*
- - !~
- - !~*
- - ^=
- - :=
- - =>
- - **
- - ..
- - AND
- - OR
- - NOT
+ - +
+ - -
+ - *
+ - /
+ - ||
+ - |/
+ - ||/
+ - !
+ - !!
+ - @
+ - &
+ - |
+ - #
+ - <<
+ - >>
+ - %
+ - ^
+ - =
+ - !=
+ - <>
+ - <
+ - <=
+ - >
+ - >=
+ - ~
+ - ~*
+ - !~
+ - !~*
+ - ^=
+ - :=
+ - =>
+ - **
+ - ..
+ - AND
+ - OR
+ - NOT
- - ##
- - &&
- - &<
- - &>
- - <->
- - <^
- - >^
- - ?#
- - ?-
- - ?-|
- - @-@
- - ?|
- - ?||
- - @@
- - ~=
+ - ##
+ - &&
+ - &<
+ - &>
+ - <->
+ - <^
+ - >^
+ - ?#
+ - ?-
+ - ?-|
+ - @-@
+ - ?|
+ - ?||
+ - @@
+ - ~=
- - <<=
- - >>=
+ - <<=
+ - >>=
- - ABS
- - CBRT
- - CEIL
- - DEGREES
- - EXP
- - FLOOR
- - LN
- - LOG
- - MOD
- - PI
- - POW
- - RADIANS
- - RANDOM
- - ROUND
- - SIGN
- - SQRT
- - TRUNC
+ - ABS
+ - CBRT
+ - CEIL
+ - DEGREES
+ - EXP
+ - FLOOR
+ - LN
+ - LOG
+ - MOD
+ - PI
+ - POW
+ - RADIANS
+ - RANDOM
+ - ROUND
+ - SIGN
+ - SQRT
+ - TRUNC
- - ACOS
- - ASIN
- - ATAN
- - ATAN2
- - COS
- - COT
- - SIN
- - TAN
+ - ACOS
+ - ASIN
+ - ATAN
+ - ATAN2
+ - COS
+ - COT
+ - SIN
+ - TAN
- - BIT_LENGTH
- - CHAR_LENGTH
- - CHARACTER_LENGTH
- - LOWER
- - OCTET_LENGTH
- - POSITION
- - SUBSTRING
- - TRIM
- - UPPER
+ - BIT_LENGTH
+ - CHAR_LENGTH
+ - CHARACTER_LENGTH
+ - LOWER
+ - OCTET_LENGTH
+ - POSITION
+ - SUBSTRING
+ - TRIM
+ - UPPER
- - ASCII
- - BTRIM
- - CHR
- - CONVERT
- - INITCAP
- - LENGTH
- - LPAD
- - LTRIM
- - PG_CLIENT_ENCODING
- - REPEAT
- - RPAD
- - RTRIM
- - STRPOS
- - SUBSTR
- - TO_ASCII
- - TRANSLATE
- - ENCODE
- - DECODE
+ - ASCII
+ - BTRIM
+ - CHR
+ - CONVERT
+ - INITCAP
+ - LENGTH
+ - LPAD
+ - LTRIM
+ - PG_CLIENT_ENCODING
+ - REPEAT
+ - RPAD
+ - RTRIM
+ - STRPOS
+ - SUBSTR
+ - TO_ASCII
+ - TRANSLATE
+ - ENCODE
+ - DECODE
- - TO_CHAR
- - TO_DATE
- - TO_TIMESTAMP
- - TO_NUMBER
+ - TO_CHAR
+ - TO_DATE
+ - TO_TIMESTAMP
+ - TO_NUMBER
- - AGE
- - DATE_PART
- - DATE_TRUNC
- - EXTRACT
- - ISFINITE
- - NOW
- - TIMEOFDAY
- - TIMESTAMP
- - EXTRACT
+ - AGE
+ - DATE_PART
+ - DATE_TRUNC
+ - EXTRACT
+ - ISFINITE
+ - NOW
+ - TIMEOFDAY
+ - TIMESTAMP
+ - EXTRACT
- - AREA
- - BOX
- - CENTER
- - DIAMETER
- - HEIGHT
- - ISCLOSED
- - ISOPEN
- - PCLOSE
- - NPOINT
- - POPEN
- - RADIUS
- - WIDTH
+ - AREA
+ - BOX
+ - CENTER
+ - DIAMETER
+ - HEIGHT
+ - ISCLOSED
+ - ISOPEN
+ - PCLOSE
+ - NPOINT
+ - POPEN
+ - RADIUS
+ - WIDTH
- - BOX
- - CIRCLE
- - LSEG
- - PATH
- - POINT
- - POLYGON
+ - BOX
+ - CIRCLE
+ - LSEG
+ - PATH
+ - POINT
+ - POLYGON
- - BROADCAST
- - HOST
- - MASKLEN
- - SET_MASKLEN
- - NETMASK
- - NETWORK
- - ABBREV
+ - BROADCAST
+ - HOST
+ - MASKLEN
+ - SET_MASKLEN
+ - NETMASK
+ - NETWORK
+ - ABBREV
- - NEXTVAL
- - CURRVAL
- - SETVAL
+ - NEXTVAL
+ - CURRVAL
+ - SETVAL
- - COALESCE
- - NULLIF
+ - COALESCE
+ - NULLIF
- - HAS_TABLE_PRIVILEGE
- - PG_GET_VIEWDEF
- - PG_GET_RULEDEF
- - PG_GET_INDEXDEF
- - PG_GET_USERBYID
- - OBJ_DESCRIPTION
- - COL_DESCRIPTION
+ - HAS_TABLE_PRIVILEGE
+ - PG_GET_VIEWDEF
+ - PG_GET_RULEDEF
+ - PG_GET_INDEXDEF
+ - PG_GET_USERBYID
+ - OBJ_DESCRIPTION
+ - COL_DESCRIPTION
- - AVG
- - COUNT
- - MAX
- - MIN
- - STDDEV
- - SUM
- - VARIANCE
+ - AVG
+ - COUNT
+ - MAX
+ - MIN
+ - STDDEV
+ - SUM
+ - VARIANCE
- - BIGINT
- - BIGSERIAL
- - BIT
- - BIT VARYING
- - BOOL
- - BOOLEAN
- - BOX
- - BYTEA
- - CHAR
- - CHARACTER
- - CHARACTER VARYING
- - CIDR
- - CIRCLE
- - DATE
- - DECIMAL
- - DOUBLE PRECISION
- - FLOAT8
- - INET
- - INT
- - INT2
- - INT4
- - INT8
- - INTEGER
- - INTERVAL
- - LINE
- - LSEG
- - LZTEXT
- - MACADDR
- - MONEY
- - NUMERIC
- - OID
- - PATH
- - POINT
- - POLYGON
- - REAL
- - SERIAL
- - SERIAL8
- - SMALLINT
- - TEXT
- - TIME
- - TIMESTAMP
- - TIMESTAMP WITH TIMEZONE
- - TIMESTAMPTZ
- - TIMETZ
- - VARBIT
- - VARCHAR
+
+ - BIGINT
+ - BIGSERIAL
+ - BIT
+ - BOOL
+ - BOOLEAN
+ - BOX
+ - BYTEA
+ - CHAR
+ - CHARACTER
+ - CIDR
+ - CIRCLE
+ - DATE
+ - DECIMAL
+ - FLOAT4
+ - FLOAT8
+ - INET
+ - INT
+ - INT2
+ - INT4
+ - INT8
+ - INTEGER
+ - INTERVAL
+ - JSON
+ - JSONB
+ - LINE
+ - LSEG
+ - LZTEXT
+ - MACADDR
+ - MACADDR8
+ - MONEY
+ - NUMERIC
+ - OID
+ - PATH
+ - POINT
+ - POLYGON
+ - REAL
+ - SERIAL
+ - SERIAL2
+ - SERIAL4
+ - SERIAL8
+ - SMALLINT
+ - SMALLSERIAL
+ - TEXT
+ - TIME
+ - TIMESTAMP
+ - TIMESTAMPTZ
+ - TIMETZ
+ - TSQUERY
+ - TSVECTOR
+ - UUID
+ - VARBIT
+ - VARCHAR
+ - XML
+
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
+
-
-
-
-
-
diff --git a/kate/data/sql.xml b/kate/data/sql.xml
index 466d83d62..c7b733531 100644
--- a/kate/data/sql.xml
+++ b/kate/data/sql.xml
@@ -1,892 +1,900 @@
-
+
-
+
- - ACCESS
- - ACCOUNT
- - ADD
- - ADMIN
- - ADMINISTER
- - ADVISE
- - AFTER
- - AGENT
- - ALL
- - ALLOCATE
- - ALL_ROWS
- - ALTER
- - ANALYZE
- - ANCILLARY
- - AND
- - ANY
- - ARCHIVE
- - ARCHIVELOG
- - AS
- - ASC
- - ASSERTION
- - ASSOCIATE
- - AT
- - ATTRIBUTE
- - ATTRIBUTES
- - AUDIT
- - AUTHENTICATED
- - AUTHID
- - AUTHORIZATION
- - AUTOALLOCATE
- - AUTOEXTEND
- - AUTOMATIC
- - BACKUP
- - BECOME
- - BEFORE
- - BEGIN
- - BEHALF
- - BETWEEN
- - BINDING
- - BITMAP
- - BLOCK
- - BLOCK_RANGE
- - BODY
- - BOTH
- - BOUND
- - BREAK
- - BROADCAST
- - BTITLE
- - BUFFER_POOL
- - BUILD
- - BULK
- - BY
- - CACHE
- - CACHE_INSTANCES
- - CALL
- - CANCEL
- - CASCADE
- - CASE
- - CATEGORY
- - CHAINED
- - CHANGE
- - CHECK
- - CHECKPOINT
- - CHILD
- - CHOOSE
- - CHUNK
- - CLASS
- - CLEAR
- - CLONE
- - CLOSE
- - CLOSE_CACHED_OPEN_CURSORS
- - CLUSTER
- - COALESCE
- - COLUMN
- - COLUMNS
- - COLUMN_VALUE
- - COMMENT
- - COMMIT
- - COMMITTED
- - COMPATIBILITY
- - COMPILE
- - COMPLETE
- - COMPOSITE_LIMIT
- - COMPRESS
- - COMPUTE
- - CONNECT
- - CONNECT_TIME
- - CONSIDER
- - CONSISTENT
- - CONSTANT
- - CONSTRAINT
- - CONSTRAINTS
- - CONTAINER
- - CONTENTS
- - CONTEXT
- - CONTINUE
- - CONTROLFILE
- - COPY
- - COST
- - CPU_PER_CALL
- - CPU_PER_SESSION
- - CREATE
- - CREATE_STORED_OUTLINES
- - CROSS
- - CUBE
- - CURRENT
- - CURSOR
- - CYCLE
- - DANGLING
- - DATA
- - DATABASE
- - DATAFILE
- - DATAFILES
- - DBA
- - DDL
- - DEALLOCATE
- - DEBUG
- - DECLARE
- - DEFAULT
- - DEFERRABLE
- - DEFERRED
- - DEFINER
- - DEGREE
- - DELETE
- - DEMAND
- - DESC
- - DETERMINES
- - DICTIONARY
- - DIMENSION
- - DIRECTORY
- - DISABLE
- - DISASSOCIATE
- - DISCONNECT
- - DISKGROUP
- - DISMOUNT
- - DISTINCT
- - DISTRIBUTED
- - DOMAIN
- - DROP
- - DYNAMIC
- - EACH
- - ELSE
- - ELSIF
- - EMPTY
- - ENABLE
- - END
- - ENFORCE
- - ENTRY
- - ESCAPE
- - ESTIMATE
- - EVENTS
- - EXCEPT
- - EXCEPTION
- - EXCEPTIONS
- - EXCHANGE
- - EXCLUDING
- - EXCLUSIVE
- - EXEC
- - EXECUTE
- - EXISTS
- - EXPIRE
- - EXPLAIN
- - EXPLOSION
- - EXTENDS
- - EXTENT
- - EXTENTS
- - EXTERNALLY
- - FAILED_LOGIN_ATTEMPTS
- - FALSE
- - FAST
- - FILE
- - FILTER
- - FIRST_ROWS
- - FLAGGER
- - FLASHBACK
- - FLUSH
- - FOLLOWING
- - FOR
- - FORCE
- - FOREIGN
- - FREELIST
- - FREELISTS
- - FRESH
- - FROM
- - FULL
- - FUNCTION
- - FUNCTIONS
- - GENERATED
- - GLOBAL
- - GLOBALLY
- - GLOBAL_NAME
- - GRANT
- - GROUP
- - GROUPS
- - HASH
- - HASHKEYS
- - HAVING
- - HEADER
- - HEAP
- - HIERARCHY
- - HOUR
- - ID
- - IDENTIFIED
- - IDENTIFIER
- - IDGENERATORS
- - IDLE_TIME
- - IF
- - IMMEDIATE
- - IN
- - INCLUDING
- - INCREMENT
- - INCREMENTAL
- - INDEX
- - INDEXED
- - INDEXES
- - INDEXTYPE
- - INDEXTYPES
- - INDICATOR
- - INITIAL
- - INITIALIZED
- - INITIALLY
- - INITRANS
- - INNER
- - INSERT
- - INSTANCE
- - INSTANCES
- - INSTEAD
- - INTERMEDIATE
- - INTERSECT
- - INTO
- - INVALIDATE
- - IS
- - ISOLATION
- - ISOLATION_LEVEL
- - JAVA
- - JOIN
- - KEEP
- - KEY
- - KILL
- - LABEL
- - LAYER
- - LEADING
- - LEFT
- - LESS
- - LEVEL
- - LIBRARY
- - LIKE
- - LIMIT
- - LINK
- - LIST
- - LOCAL
- - LOCATOR
- - LOCK
- - LOCKED
- - LOGFILE
- - LOGGING
- - LOGICAL_READS_PER_CALL
- - LOGICAL_READS_PER_SESSION
- - LOGOFF
- - LOGON
- - LOOP
- - MANAGE
- - MANAGED
- - MANAGEMENT
- - MASTER
- - MATERIALIZED
- - MAXARCHLOGS
- - MAXDATAFILES
- - MAXEXTENTS
- - MAXINSTANCES
- - MAXLOGFILES
- - MAXLOGHISTORY
- - MAXLOGMEMBERS
- - MAXSIZE
- - MAXTRANS
- - MAXVALUE
- - MEMBER
- - MERGE
- - METHOD
- - MINEXTENTS
- - MINIMIZE
- - MINIMUM
- - MINUS
- - MINUTE
- - MINVALUE
- - MODE
- - MODIFY
- - MONITORING
- - MOUNT
- - MOVE
- - MOVEMENT
- - MTS_DISPATCHERS
- - MULTISET
- - NAMED
- - NATURAL
- - NEEDED
- - NESTED
- - NESTED_TABLE_ID
- - NETWORK
- - NEVER
- - NEW
- - NEXT
- - NLS_CALENDAR
- - NLS_CHARACTERSET
- - NLS_COMP
- - NLS_CURRENCY
- - NLS_DATE_FORMAT
- - NLS_DATE_LANGUAGE
- - NLS_ISO_CURRENCY
- - NLS_LANG
- - NLS_LANGUAGE
- - NLS_NUMERIC_CHARACTERS
- - NLS_SORT
- - NLS_SPECIAL_CHARS
- - NLS_TERRITORY
- - NO
- - NOARCHIVELOG
- - NOAUDIT
- - NOCACHE
- - NOCOMPRESS
- - NOCYCLE
- - NOFORCE
- - NOLOGGING
- - NOMAXVALUE
- - NOMINIMIZE
- - NOMINVALUE
- - NOMONITORING
- - NONE
- - NOORDER
- - NOOVERRIDE
- - NOPARALLEL
- - NORELY
- - NORESETLOGS
- - NOREVERSE
- - NORMAL
- - NOSEGMENT
- - NOSORT
- - NOT
- - NOTHING
- - NOVALIDATE
- - NOWAIT
- - NULL
- - NULLS
- - OBJNO
- - OBJNO_REUSE
- - OF
- - OFF
- - OFFLINE
- - OID
- - OIDINDEX
- - OLD
- - ON
- - ONLINE
- - ONLY
- - OPCODE
- - OPEN
- - OPERATOR
- - OPTIMAL
- - OPTIMIZER_GOAL
- - OPTION
- - OR
- - ORDER
- - ORGANIZATION
- - OUT
- - OUTER
- - OUTLINE
- - OVER
- - OVERFLOW
- - OVERLAPS
- - OWN
- - PACKAGE
- - PACKAGES
- - PARALLEL
- - PARAMETERS
- - PARENT
- - PARTITION
- - PARTITIONS
- - PARTITION_HASH
- - PARTITION_RANGE
- - PASSWORD
- - PASSWORD_GRACE_TIME
- - PASSWORD_LIFE_TIME
- - PASSWORD_LOCK_TIME
- - PASSWORD_REUSE_MAX
- - PASSWORD_REUSE_TIME
- - PASSWORD_VERIFY_FUNCTION
- - PCTFREE
- - PCTINCREASE
- - PCTTHRESHOLD
- - PCTUSED
- - PCTVERSION
- - PERCENT
- - PERMANENT
- - PLAN
- - PLSQL_DEBUG
- - POST_TRANSACTION
- - PREBUILT
- - PRECEDING
- - PREPARE
- - PRESENT
- - PRESERVE
- - PREVIOUS
- - PRIMARY
- - PRIOR
- - PRIVATE
- - PRIVATE_SGA
- - PRIVILEGE
- - PRIVILEGES
- - PROCEDURE
- - PROFILE
- - PUBLIC
- - PURGE
- - QUERY
- - QUEUE
- - QUOTA
- - RANDOM
- - RANGE
- - RBA
- - READ
- - READS
- - REBUILD
- - RECORDS_PER_BLOCK
- - RECOVER
- - RECOVERABLE
- - RECOVERY
- - RECYCLE
- - REDUCED
- - REFERENCES
- - REFERENCING
- - REFRESH
- - RELY
- - RENAME
- - REPLACE
- - RESET
- - RESETLOGS
- - RESIZE
- - RESOLVE
- - RESOLVER
- - RESOURCE
- - RESTRICT
- - RESTRICTED
- - RESUME
- - RETURN
- - RETURNING
- - REUSE
- - REVERSE
- - REVOKE
- - REWRITE
- - RIGHT
- - ROLE
- - ROLES
- - ROLLBACK
- - ROLLUP
- - ROW
- - ROWNUM
- - ROWS
- - RULE
- - SAMPLE
- - SAVEPOINT
- - SCAN
- - SCAN_INSTANCES
- - SCHEMA
- - SCN
- - SCOPE
- - SD_ALL
- - SD_INHIBIT
- - SD_SHOW
- - SEGMENT
- - SEG_BLOCK
- - SEG_FILE
- - SELECT
- - SELECTIVITY
- - SEQUENCE
- - SERIALIZABLE
- - SERVERERROR
- - SESSION
- - SESSIONS_PER_USER
- - SESSION_CACHED_CURSORS
- - SET
- - SHARE
- - SHARED
- - SHARED_POOL
- - SHRINK
- - SHUTDOWN
- - SINGLETASK
- - SIZE
- - SKIP
- - SKIP_UNUSABLE_INDEXES
- - SNAPSHOT
- - SOME
- - SORT
- - SOURCE
- - SPECIFICATION
- - SPLIT
- - SQL_TRACE
- - STANDBY
- - START
- - STARTUP
- - STATEMENT_ID
- - STATIC
- - STATISTICS
- - STOP
- - STORAGE
- - STORE
- - STRUCTURE
- - SUBMULTISET
- - SUBPARTITION
- - SUBPARTITIONS
- - SUCCESSFUL
- - SUMMARY
- - SUPPLEMENTAL
- - SUSPEND
- - SWITCH
- - SYNONYM
- - SYSDBA
- - SYSOPER
- - SYSTEM
- - SYS_OP_BITVEC
- - SYS_OP_ENFORCE_NOT_NULL$
- - SYS_OP_NOEXPAND
- - SYS_OP_NTCIMG$
- - TABLE
- - TABLES
- - TABLESPACE
- - TABLESPACE_NO
- - TABNO
- - TEMPFILE
- - TEMPORARY
- - THAN
- - THE
- - THEN
- - THREAD
- - THROUGH
- - TIMEOUT
- - TIMEZONE_HOUR
- - TIMEZONE_MINUTE
- - TIME_ZONE
- - TO
- - TOPLEVEL
- - TRACE
- - TRACING
- - TRAILING
- - TRANSACTION
- - TRANSITIONAL
- - TRIGGER
- - TRIGGERS
- - TRUE
- - TRUNCATE
- - TYPE
- - TYPES
- - UNARCHIVED
- - UNBOUND
- - UNBOUNDED
- - UNDO
- - UNIFORM
- - UNION
- - UNIQUE
- - UNLIMITED
- - UNLOCK
- - UNRECOVERABLE
- - UNTIL
- - UNUSABLE
- - UNUSED
- - UPDATABLE
- - UPDATE
- - UPD_INDEXES
- - UPPPER
- - USAGE
- - USE
- - USER_DEFINED
- - USE_STORED_OUTLINES
- - USING
- - VALIDATE
- - VALIDATION
- - VALUES
- - VIEW
- - WHEN
- - WHENEVER
- - WHERE
- - WHILE
- - WITH
- - WITHOUT
- - WORK
- - WRITE
+ - ACCESS
+ - ACCOUNT
+ - ADD
+ - ADMIN
+ - ADMINISTER
+ - ADVISE
+ - AFTER
+ - AGENT
+ - ALL
+ - ALLOCATE
+ - ALL_ROWS
+ - ALTER
+ - ANALYZE
+ - ANCILLARY
+ - AND
+ - ANY
+ - ARCHIVE
+ - ARCHIVELOG
+ - AS
+ - ASC
+ - ASSERTION
+ - ASSOCIATE
+ - AT
+ - ATTRIBUTE
+ - ATTRIBUTES
+ - AUDIT
+ - AUTHENTICATED
+ - AUTHID
+ - AUTHORIZATION
+ - AUTOALLOCATE
+ - AUTOEXTEND
+ - AUTOMATIC
+ - BACKUP
+ - BECOME
+ - BEFORE
+ - BEGIN
+ - BEHALF
+ - BETWEEN
+ - BINDING
+ - BITMAP
+ - BLOCK
+ - BLOCK_RANGE
+ - BODY
+ - BOTH
+ - BOUND
+ - BREAK
+ - BROADCAST
+ - BTITLE
+ - BUFFER_POOL
+ - BUILD
+ - BULK
+ - BY
+ - CACHE
+ - CACHE_INSTANCES
+ - CALL
+ - CANCEL
+ - CASCADE
+ - CASE
+ - CATEGORY
+ - CHAINED
+ - CHANGE
+ - CHECK
+ - CHECKPOINT
+ - CHILD
+ - CHOOSE
+ - CHUNK
+ - CLASS
+ - CLEAR
+ - CLONE
+ - CLOSE
+ - CLOSE_CACHED_OPEN_CURSORS
+ - CLUSTER
+ - COALESCE
+ - COLUMN
+ - COLUMNS
+ - COLUMN_VALUE
+ - COMMENT
+ - COMMIT
+ - COMMITTED
+ - COMPATIBILITY
+ - COMPILE
+ - COMPLETE
+ - COMPOSITE_LIMIT
+ - COMPRESS
+ - COMPUTE
+ - CONNECT
+ - CONNECT_TIME
+ - CONSIDER
+ - CONSISTENT
+ - CONSTANT
+ - CONSTRAINT
+ - CONSTRAINTS
+ - CONTAINER
+ - CONTENTS
+ - CONTEXT
+ - CONTINUE
+ - CONTROLFILE
+ - COPY
+ - COST
+ - CPU_PER_CALL
+ - CPU_PER_SESSION
+ - CREATE
+ - CREATE_STORED_OUTLINES
+ - CROSS
+ - CUBE
+ - CURRENT
+ - CURSOR
+ - CYCLE
+ - DANGLING
+ - DATA
+ - DATABASE
+ - DATAFILE
+ - DATAFILES
+ - DBA
+ - DDL
+ - DEALLOCATE
+ - DEBUG
+ - DECLARE
+ - DEFAULT
+ - DEFERRABLE
+ - DEFERRED
+ - DEFINER
+ - DEGREE
+ - DELETE
+ - DEMAND
+ - DESC
+ - DETERMINES
+ - DICTIONARY
+ - DIMENSION
+ - DIRECTORY
+ - DISABLE
+ - DISASSOCIATE
+ - DISCONNECT
+ - DISKGROUP
+ - DISMOUNT
+ - DISTINCT
+ - DISTRIBUTED
+ - DOMAIN
+ - DROP
+ - DYNAMIC
+ - EACH
+ - ELSE
+ - ELSIF
+ - EMPTY
+ - ENABLE
+ - END
+ - ENFORCE
+ - ENTRY
+ - ESCAPE
+ - ESTIMATE
+ - EVENTS
+ - EXCEPT
+ - EXCEPTION
+ - EXCEPTIONS
+ - EXCHANGE
+ - EXCLUDING
+ - EXCLUSIVE
+ - EXEC
+ - EXECUTE
+ - EXISTS
+ - EXPIRE
+ - EXPLAIN
+ - EXPLOSION
+ - EXTENDS
+ - EXTENT
+ - EXTENTS
+ - EXTERNALLY
+ - FAILED_LOGIN_ATTEMPTS
+ - FALSE
+ - FAST
+ - FILE
+ - FILTER
+ - FIRST_ROWS
+ - FLAGGER
+ - FLASHBACK
+ - FLUSH
+ - FOLLOWING
+ - FOR
+ - FORCE
+ - FOREIGN
+ - FREELIST
+ - FREELISTS
+ - FRESH
+ - FROM
+ - FULL
+ - FUNCTION
+ - FUNCTIONS
+ - GENERATED
+ - GLOBAL
+ - GLOBALLY
+ - GLOBAL_NAME
+ - GRANT
+ - GROUP
+ - GROUPS
+ - HASH
+ - HASHKEYS
+ - HAVING
+ - HEADER
+ - HEAP
+ - HIERARCHY
+ - HOUR
+ - ID
+ - IDENTIFIED
+ - IDENTIFIER
+ - IDGENERATORS
+ - IDLE_TIME
+ - IF
+ - IMMEDIATE
+ - IN
+ - INCLUDING
+ - INCREMENT
+ - INCREMENTAL
+ - INDEX
+ - INDEXED
+ - INDEXES
+ - INDEXTYPE
+ - INDEXTYPES
+ - INDICATOR
+ - INITIAL
+ - INITIALIZED
+ - INITIALLY
+ - INITRANS
+ - INNER
+ - INSERT
+ - INSTANCE
+ - INSTANCES
+ - INSTEAD
+ - INTERMEDIATE
+ - INTERSECT
+ - INTO
+ - INVALIDATE
+ - IS
+ - ISOLATION
+ - ISOLATION_LEVEL
+ - JAVA
+ - JOIN
+ - KEEP
+ - KEY
+ - KILL
+ - LABEL
+ - LAYER
+ - LEADING
+ - LEFT
+ - LESS
+ - LEVEL
+ - LIBRARY
+ - LIKE
+ - LIMIT
+ - LINK
+ - LIST
+ - LOCAL
+ - LOCATOR
+ - LOCK
+ - LOCKED
+ - LOGFILE
+ - LOGGING
+ - LOGICAL_READS_PER_CALL
+ - LOGICAL_READS_PER_SESSION
+ - LOGOFF
+ - LOGON
+ - LOOP
+ - MANAGE
+ - MANAGED
+ - MANAGEMENT
+ - MASTER
+ - MATERIALIZED
+ - MAXARCHLOGS
+ - MAXDATAFILES
+ - MAXEXTENTS
+ - MAXINSTANCES
+ - MAXLOGFILES
+ - MAXLOGHISTORY
+ - MAXLOGMEMBERS
+ - MAXSIZE
+ - MAXTRANS
+ - MAXVALUE
+ - MEMBER
+ - MERGE
+ - METHOD
+ - MINEXTENTS
+ - MINIMIZE
+ - MINIMUM
+ - MINUS
+ - MINUTE
+ - MINVALUE
+ - MODE
+ - MODIFY
+ - MONITORING
+ - MOUNT
+ - MOVE
+ - MOVEMENT
+ - MTS_DISPATCHERS
+ - MULTISET
+ - NAMED
+ - NATURAL
+ - NEEDED
+ - NESTED
+ - NESTED_TABLE_ID
+ - NETWORK
+ - NEVER
+ - NEW
+ - NEXT
+ - NLS_CALENDAR
+ - NLS_CHARACTERSET
+ - NLS_COMP
+ - NLS_CURRENCY
+ - NLS_DATE_FORMAT
+ - NLS_DATE_LANGUAGE
+ - NLS_ISO_CURRENCY
+ - NLS_LANG
+ - NLS_LANGUAGE
+ - NLS_NUMERIC_CHARACTERS
+ - NLS_SORT
+ - NLS_SPECIAL_CHARS
+ - NLS_TERRITORY
+ - NO
+ - NOARCHIVELOG
+ - NOAUDIT
+ - NOCACHE
+ - NOCOMPRESS
+ - NOCYCLE
+ - NOFORCE
+ - NOLOGGING
+ - NOMAXVALUE
+ - NOMINIMIZE
+ - NOMINVALUE
+ - NOMONITORING
+ - NONE
+ - NOORDER
+ - NOOVERRIDE
+ - NOPARALLEL
+ - NORELY
+ - NORESETLOGS
+ - NOREVERSE
+ - NORMAL
+ - NOSEGMENT
+ - NOSORT
+ - NOT
+ - NOTHING
+ - NOVALIDATE
+ - NOWAIT
+ - NULL
+ - NULLS
+ - OBJNO
+ - OBJNO_REUSE
+ - OF
+ - OFF
+ - OFFLINE
+ - OID
+ - OIDINDEX
+ - OLD
+ - ON
+ - ONLINE
+ - ONLY
+ - OPCODE
+ - OPEN
+ - OPERATOR
+ - OPTIMAL
+ - OPTIMIZER_GOAL
+ - OPTION
+ - OR
+ - ORDER
+ - ORGANIZATION
+ - OUT
+ - OUTER
+ - OUTLINE
+ - OVER
+ - OVERFLOW
+ - OVERLAPS
+ - OWN
+ - PACKAGE
+ - PACKAGES
+ - PARALLEL
+ - PARAMETERS
+ - PARENT
+ - PARTITION
+ - PARTITIONS
+ - PARTITION_HASH
+ - PARTITION_RANGE
+ - PASSWORD
+ - PASSWORD_GRACE_TIME
+ - PASSWORD_LIFE_TIME
+ - PASSWORD_LOCK_TIME
+ - PASSWORD_REUSE_MAX
+ - PASSWORD_REUSE_TIME
+ - PASSWORD_VERIFY_FUNCTION
+ - PCTFREE
+ - PCTINCREASE
+ - PCTTHRESHOLD
+ - PCTUSED
+ - PCTVERSION
+ - PERCENT
+ - PERMANENT
+ - PLAN
+ - PLSQL_DEBUG
+ - POST_TRANSACTION
+ - PREBUILT
+ - PRECEDING
+ - PREPARE
+ - PRESENT
+ - PRESERVE
+ - PREVIOUS
+ - PRIMARY
+ - PRIOR
+ - PRIVATE
+ - PRIVATE_SGA
+ - PRIVILEGE
+ - PRIVILEGES
+ - PROCEDURE
+ - PROFILE
+ - PUBLIC
+ - PURGE
+ - QUERY
+ - QUEUE
+ - QUOTA
+ - RANDOM
+ - RANGE
+ - RBA
+ - READ
+ - READS
+ - REBUILD
+ - RECORDS_PER_BLOCK
+ - RECOVER
+ - RECOVERABLE
+ - RECOVERY
+ - RECYCLE
+ - REDUCED
+ - REFERENCES
+ - REFERENCING
+ - REFRESH
+ - RELY
+ - RENAME
+ - REPLACE
+ - RESET
+ - RESETLOGS
+ - RESIZE
+ - RESOLVE
+ - RESOLVER
+ - RESOURCE
+ - RESTRICT
+ - RESTRICTED
+ - RESUME
+ - RETURN
+ - RETURNING
+ - REUSE
+ - REVERSE
+ - REVOKE
+ - REWRITE
+ - RIGHT
+ - ROLE
+ - ROLES
+ - ROLLBACK
+ - ROLLUP
+ - ROW
+ - ROWNUM
+ - ROWS
+ - RULE
+ - SAMPLE
+ - SAVEPOINT
+ - SCAN
+ - SCAN_INSTANCES
+ - SCHEMA
+ - SCN
+ - SCOPE
+ - SD_ALL
+ - SD_INHIBIT
+ - SD_SHOW
+ - SEGMENT
+ - SEG_BLOCK
+ - SEG_FILE
+ - SELECT
+ - SELECTIVITY
+ - SEQUENCE
+ - SERIALIZABLE
+ - SERVERERROR
+ - SESSION
+ - SESSIONS_PER_USER
+ - SESSION_CACHED_CURSORS
+ - SET
+ - SHARE
+ - SHARED
+ - SHARED_POOL
+ - SHRINK
+ - SHUTDOWN
+ - SINGLETASK
+ - SIZE
+ - SKIP
+ - SKIP_UNUSABLE_INDEXES
+ - SNAPSHOT
+ - SOME
+ - SORT
+ - SOURCE
+ - SPECIFICATION
+ - SPLIT
+ - SQL_TRACE
+ - STANDBY
+ - START
+ - STARTUP
+ - STATEMENT_ID
+ - STATIC
+ - STATISTICS
+ - STOP
+ - STORAGE
+ - STORE
+ - STRUCTURE
+ - SUBMULTISET
+ - SUBPARTITION
+ - SUBPARTITIONS
+ - SUCCESSFUL
+ - SUMMARY
+ - SUPPLEMENTAL
+ - SUSPEND
+ - SWITCH
+ - SYNONYM
+ - SYSDBA
+ - SYSOPER
+ - SYSTEM
+ - SYS_OP_BITVEC
+ - SYS_OP_ENFORCE_NOT_NULL$
+ - SYS_OP_NOEXPAND
+ - SYS_OP_NTCIMG$
+ - TABLE
+ - TABLES
+ - TABLESPACE
+ - TABLESPACE_NO
+ - TABNO
+ - TEMPFILE
+ - TEMPORARY
+ - THAN
+ - THE
+ - THEN
+ - THREAD
+ - THROUGH
+ - TIMEOUT
+ - TIMEZONE_HOUR
+ - TIMEZONE_MINUTE
+ - TIME_ZONE
+ - TO
+ - TOPLEVEL
+ - TRACE
+ - TRACING
+ - TRAILING
+ - TRANSACTION
+ - TRANSITIONAL
+ - TRIGGER
+ - TRIGGERS
+ - TRUE
+ - TRUNCATE
+ - TYPE
+ - TYPES
+ - UNARCHIVED
+ - UNBOUND
+ - UNBOUNDED
+ - UNDO
+ - UNIFORM
+ - UNION
+ - UNIQUE
+ - UNLIMITED
+ - UNLOCK
+ - UNRECOVERABLE
+ - UNTIL
+ - UNUSABLE
+ - UNUSED
+ - UPDATABLE
+ - UPDATE
+ - UPD_INDEXES
+ - UPPPER
+ - USAGE
+ - USE
+ - USER_DEFINED
+ - USE_STORED_OUTLINES
+ - USING
+ - VALIDATE
+ - VALIDATION
+ - VALUES
+ - VIEW
+ - WHEN
+ - WHENEVER
+ - WHERE
+ - WHILE
+ - WITH
+ - WITHOUT
+ - WORK
+ - WRITE
- - +
- - -
- - *
- - /
- - ||
- - =
- - !=
- - <>
- - <
- - <=
- - >
- - >=
- - ~=
- - ^=
- - :=
- - =>
- - **
- - ..
+ - +
+ - -
+ - *
+ - /
+ - ||
+ - =
+ - !=
+ - <>
+ - <
+ - <=
+ - >
+ - >=
+ - ~=
+ - ^=
+ - :=
+ - =>
+ - **
+ - ..
- - ABS
- - ACOS
- - ADD_MONTHS
- - ASCII
- - ASCIISTR
- - ASIN
- - ATAN
- - ATAN2
- - AVG
- - BFILENAME
- - BIN_TO_NUM
- - BITAND
- - CARDINALITY
- - CAST
- - CEIL
- - CHARTOROWID
- - CHR
- - COALESCE
- - COLLECT
- - COMPOSE
- - CONCAT
- - CONVERT
- - CORR
- - CORR_K
- - CORR_S
- - COS
- - COSH
- - COUNT
- - COVAR_POP
- - COVAR_SAMP
- - CUME_DIST
- - CURRENT_DATE
- - CURRENT_TIMESTAMP
- - CV
- - DBTIMEZONE
- - DECODE
- - DECOMPOSE
- - DENSE_RANK
- - DEPTH
- - DEREF
- - DUMP
- - EMPTY_BLOB
- - EMPTY_CLOB
- - EXISTSNODE
- - EXP
- - EXTRACT
- - EXTRACTVALUE
- - FIRST
- - FIRST_VALUE
- - FLOOR
- - FROM_TZ
- - GREATEST
- - GROUP_ID
- - GROUPING
- - GROUPING_ID
- - HEXTORAW
- - INITCAP
- - INSTR
- - INSTRB
- - LAG
- - LAST
- - LAST_DAY
- - LAST_VALUE
- - LEAD
- - LEAST
- - LENGTH
- - LENGTHB
- - LN
- - LNNVL
- - LOCALTIMESTAMP
- - LOG
- - LOWER
- - LPAD
- - LTRIM
- - MAKE_REF
- - MAX
- - MEDIAN
- - MIN
- - MOD
- - MONTHS_BETWEEN
- - NANVL
- - NCHR
- - NEW_TIME
- - NEXT_DAY
- - NLS_CHARSET_DECL_LEN
- - NLS_CHARSET_ID
- - NLS_CHARSET_NAME
- - NLS_INITCAP
- - NLS_LOWER
- - NLS_UPPER
- - NLSSORT
- - NTILE
- - NULLIF
- - NUMTODSINTERVAL
- - NUMTOYMINTERVAL
- - NVL
- - NVL2
- - ORA_HASH
- - ORA_ROWSCN
- - PERCENT_RANK
- - PERCENTILE_CONT
- - PERCENTILE_DISC
- - POWER
- - POWERMULTISET
- - POWERMULTISET_BY_CARDINALITY
- - PRESENTNNV
- - PRESENTV
- - RANK
- - RATIO_TO_REPORT
- - RAWTOHEX
- - RAWTONHEX
- - REF
- - REFTOHEX
- - REGEXP_INSTR
- - REGEXP_LIKE
- - REGEXP_REPLACE
- - REGEXP_SUBSTR
- - REGR_SLOPE
- - REGR_INTERCEPT
- - REGR_COUNT
- - REGR_R2
- - REGR_AVGX
- - REGR_AVGY
- - REGR_SXX
- - REGR_SYY
- - REGR_SXY
- - REMAINDER
- - ROUND
- - ROW_NUMBER
- - ROWIDTOCHAR
- - ROWIDTONCHAR
- - RPAD
- - RTRIM
- - SCN_TO_TIMESTAMP
- - SESSIONTIMEZONE
- - SIGN
- - SIN
- - SINH
- - SOUNDEX
- - SQRT
- - STATS_BINOMIAL_TEST
- - STATS_CROSSTAB
- - STATS_F_TEST
- - STATS_KS_TEST
- - STATS_MODE
- - STATS_MW_TEST
- - STATS_ONE_WAY_ANOVA
- - STATS_T_TEST_ONE
- - STATS_T_TEST_PAIRED
- - STATS_T_TEST_INDEP
- - STATS_T_TEST_INDEPU
- - STATS_WSR_TEST
- - STDDEV
- - STDDEV_POP
- - STDDEV_SAMP
- - SUBSTR
- - SUBSTRB
- - SUM
- - SYS_CONNECT_BY_PATH
- - SYS_CONTEXT
- - SYS_DBURIGEN
- - SYS_EXTRACT_UTC
- - SYS_GUID
- - SYS_TYPEID
- - SYS_XMLAGG
- - SYS_XMLGEN
- - SYSDATE
- - SYSTIMESTAMP
- - TAN
- - TANH
- - TIMESTAMP_TO_SCN
- - TO_BINARY_DOUBLE
- - TO_BINARY_FLOAT
- - TO_CHAR
- - TO_CLOB
- - TO_DATE
- - TO_DSINTERVAL
- - TO_LOB
- - TO_MULTI_BYTE
- - TO_NCHAR
- - TO_NCLOB
- - TO_NUMBER
- - TO_SINGLE_BYTE
- - TO_TIMESTAMP
- - TO_TIMESTAMP_TZ
- - TO_YMINTERVAL
- - TRANSLATE
- - TREAT
- - TRIM
- - TRUNC
- - TZ_OFFSET
- - UID
- - UNISTR
- - UPDATEXML
- - UPPER
- - USER
- - USERENV
- - VALUE
- - VAR_POP
- - VAR_SAMP
- - VARIANCE
- - VSIZE
- - WIDTH_BUCKET
- - XMLAGG
- - XMLCOLATTVAL
- - XMLCONCAT
- - XMLELEMENT
- - XMLFOREST
- - XMLSEQUENCE
- - XMLTRANSFORM
+ - ABS
+ - ACOS
+ - ADD_MONTHS
+ - ASCII
+ - ASCIISTR
+ - ASIN
+ - ATAN
+ - ATAN2
+ - AVG
+ - BFILENAME
+ - BIN_TO_NUM
+ - BITAND
+ - CARDINALITY
+ - CAST
+ - CEIL
+ - CHARTOROWID
+ - CHR
+ - COALESCE
+ - COLLECT
+ - COMPOSE
+ - CONCAT
+ - CONVERT
+ - CORR
+ - CORR_K
+ - CORR_S
+ - COS
+ - COSH
+ - COUNT
+ - COVAR_POP
+ - COVAR_SAMP
+ - CUME_DIST
+ - CURRENT_DATE
+ - CURRENT_TIMESTAMP
+ - CV
+ - DBTIMEZONE
+ - DECODE
+ - DECOMPOSE
+ - DENSE_RANK
+ - DEPTH
+ - DEREF
+ - DUMP
+ - EMPTY_BLOB
+ - EMPTY_CLOB
+ - EXISTSNODE
+ - EXP
+ - EXTRACT
+ - EXTRACTVALUE
+ - FIRST
+ - FIRST_VALUE
+ - FLOOR
+ - FROM_TZ
+ - GREATEST
+ - GROUP_ID
+ - GROUPING
+ - GROUPING_ID
+ - HEXTORAW
+ - INITCAP
+ - INSTR
+ - INSTRB
+ - LAG
+ - LAST
+ - LAST_DAY
+ - LAST_VALUE
+ - LEAD
+ - LEAST
+ - LENGTH
+ - LENGTHB
+ - LN
+ - LNNVL
+ - LOCALTIMESTAMP
+ - LOG
+ - LOWER
+ - LPAD
+ - LTRIM
+ - MAKE_REF
+ - MAX
+ - MEDIAN
+ - MIN
+ - MOD
+ - MONTHS_BETWEEN
+ - NANVL
+ - NCHR
+ - NEW_TIME
+ - NEXT_DAY
+ - NLS_CHARSET_DECL_LEN
+ - NLS_CHARSET_ID
+ - NLS_CHARSET_NAME
+ - NLS_INITCAP
+ - NLS_LOWER
+ - NLS_UPPER
+ - NLSSORT
+ - NTILE
+ - NULLIF
+ - NUMTODSINTERVAL
+ - NUMTOYMINTERVAL
+ - NVL
+ - NVL2
+ - ORA_HASH
+ - ORA_ROWSCN
+ - PERCENT_RANK
+ - PERCENTILE_CONT
+ - PERCENTILE_DISC
+ - POWER
+ - POWERMULTISET
+ - POWERMULTISET_BY_CARDINALITY
+ - PRESENTNNV
+ - PRESENTV
+ - RANK
+ - RATIO_TO_REPORT
+ - RAWTOHEX
+ - RAWTONHEX
+ - REF
+ - REFTOHEX
+ - REGEXP_INSTR
+ - REGEXP_LIKE
+ - REGEXP_REPLACE
+ - REGEXP_SUBSTR
+ - REGR_SLOPE
+ - REGR_INTERCEPT
+ - REGR_COUNT
+ - REGR_R2
+ - REGR_AVGX
+ - REGR_AVGY
+ - REGR_SXX
+ - REGR_SYY
+ - REGR_SXY
+ - REMAINDER
+ - ROUND
+ - ROW_NUMBER
+ - ROWIDTOCHAR
+ - ROWIDTONCHAR
+ - RPAD
+ - RTRIM
+ - SCN_TO_TIMESTAMP
+ - SESSIONTIMEZONE
+ - SIGN
+ - SIN
+ - SINH
+ - SOUNDEX
+ - SQRT
+ - STATS_BINOMIAL_TEST
+ - STATS_CROSSTAB
+ - STATS_F_TEST
+ - STATS_KS_TEST
+ - STATS_MODE
+ - STATS_MW_TEST
+ - STATS_ONE_WAY_ANOVA
+ - STATS_T_TEST_ONE
+ - STATS_T_TEST_PAIRED
+ - STATS_T_TEST_INDEP
+ - STATS_T_TEST_INDEPU
+ - STATS_WSR_TEST
+ - STDDEV
+ - STDDEV_POP
+ - STDDEV_SAMP
+ - SUBSTR
+ - SUBSTRB
+ - SUM
+ - SYS_CONNECT_BY_PATH
+ - SYS_CONTEXT
+ - SYS_DBURIGEN
+ - SYS_EXTRACT_UTC
+ - SYS_GUID
+ - SYS_TYPEID
+ - SYS_XMLAGG
+ - SYS_XMLGEN
+ - SYSDATE
+ - SYSTIMESTAMP
+ - TAN
+ - TANH
+ - TIMESTAMP_TO_SCN
+ - TO_BINARY_DOUBLE
+ - TO_BINARY_FLOAT
+ - TO_CHAR
+ - TO_CLOB
+ - TO_DATE
+ - TO_DSINTERVAL
+ - TO_LOB
+ - TO_MULTI_BYTE
+ - TO_NCHAR
+ - TO_NCLOB
+ - TO_NUMBER
+ - TO_SINGLE_BYTE
+ - TO_TIMESTAMP
+ - TO_TIMESTAMP_TZ
+ - TO_YMINTERVAL
+ - TRANSLATE
+ - TREAT
+ - TRIM
+ - TRUNC
+ - TZ_OFFSET
+ - UID
+ - UNISTR
+ - UPDATEXML
+ - UPPER
+ - USER
+ - USERENV
+ - VALUE
+ - VAR_POP
+ - VAR_SAMP
+ - VARIANCE
+ - VSIZE
+ - WIDTH_BUCKET
+ - XMLAGG
+ - XMLCOLATTVAL
+ - XMLCONCAT
+ - XMLELEMENT
+ - XMLFOREST
+ - XMLSEQUENCE
+ - XMLTRANSFORM
- - ANYDATA
- - ANYDATASET
- - ANYTYPE
- - ARRAY
- - BFILE
- - BINARY_DOUBLE
- - BINARY_FLOAT
- - BINARY_INTEGER
- - BLOB
- - BOOLEAN
- - CFILE
- - CHAR
- - CHARACTER
- - CLOB
- - DATE
- - DAY
- - DBURITYPE
- - DEC
- - DECIMAL
- - DOUBLE
- - FLOAT
- - FLOB
- - HTTPURITYPE
- - INT
- - INTEGER
- - INTERVAL
- - LOB
- - LONG
- - MLSLABEL
- - MONTH
- - NATIONAL
- - NCHAR
- - NCLOB
- - NUMBER
- - NUMERIC
- - NVARCHAR
- - OBJECT
- - PLS_INTEGER
- - PRECISION
- - RAW
- - REAL
- - RECORD
- - ROWID
- - SECOND
- - SINGLE
- - SMALLINT
- - TIME
- - TIMESTAMP
- - URIFACTORYTYPE
- - URITYPE
- - UROWID
- - VARCHAR
- - VARCHAR2
- - VARRAY
- - VARYING
- - XMLTYPE
- - YEAR
- - ZONE
+ - ANYDATA
+ - ANYDATASET
+ - ANYTYPE
+ - ARRAY
+ - BFILE
+ - BINARY_DOUBLE
+ - BINARY_FLOAT
+ - BINARY_INTEGER
+ - BLOB
+ - BOOLEAN
+ - CFILE
+ - CHAR
+ - CHARACTER
+ - CLOB
+ - DATE
+ - DAY
+ - DBURITYPE
+ - DEC
+ - DECIMAL
+ - DOUBLE
+ - FLOAT
+ - FLOB
+ - HTTPURITYPE
+ - INT
+ - INTEGER
+ - INTERVAL
+ - LOB
+ - LONG
+ - MLSLABEL
+ - MONTH
+ - NATIONAL
+ - NCHAR
+ - NCLOB
+ - NUMBER
+ - NUMERIC
+ - NVARCHAR
+ - OBJECT
+ - PLS_INTEGER
+ - PRECISION
+ - RAW
+ - REAL
+ - RECORD
+ - ROWID
+ - SECOND
+ - SINGLE
+ - SMALLINT
+ - TIME
+ - TIMESTAMP
+ - URIFACTORYTYPE
+ - URITYPE
+ - UROWID
+ - VARCHAR
+ - VARCHAR2
+ - VARRAY
+ - VARYING
+ - XMLTYPE
+ - YEAR
+ - ZONE
+
+
+
+
+
+
@@ -901,14 +909,9 @@
-
-
-
-
-
diff --git a/kate/data/stata.xml b/kate/data/stata.xml
index 07fd4ee36..ce3b435b4 100644
--- a/kate/data/stata.xml
+++ b/kate/data/stata.xml
@@ -1,3130 +1,3133 @@
-
- - addlabels
- - addlabopts
- - addplot
- - bar
- - barwidth
- - bin
- - blabel
- - caption
- - center
- - circle
- - circle_hollow
- - color
- - cols
- - combine
- - dot
- - draw
- - dropline
- - frequency
- - grid
- - hbar
- - imargin
- - labsize
- - legend
- - margin
- - medthick
- - mlabangle
- - mlabcolor
- - mlabel
- - mlabgap
- - mlabposition
- - mlabsize
- - mlabstyle
- - mlabtextstyle
- - mlabvposition
- - msymbol
- - name
- - nodraw
- - nogrid
- - over
- - plotregion
- - position
- - ring
- - rows
- - scale
- - size
- - start
- - subtitle
- - t1title
- - t2title
- - text
- - textsize
- - title
- - xcommon
- - xlabel
- - xline
- - xscale
- - xsize
- - xtitle
- - ycommon
- - ylabel
- - yline
- - yscale
- - ysize
- - ytitle
- - zero
+ - addlabels
+ - addlabopts
+ - addplot
+ - bar
+ - barwidth
+ - bin
+ - blabel
+ - caption
+ - center
+ - circle
+ - circle_hollow
+ - color
+ - cols
+ - combine
+ - dot
+ - draw
+ - dropline
+ - frequency
+ - grid
+ - hbar
+ - imargin
+ - labsize
+ - legend
+ - margin
+ - medthick
+ - mlabangle
+ - mlabcolor
+ - mlabel
+ - mlabgap
+ - mlabposition
+ - mlabsize
+ - mlabstyle
+ - mlabtextstyle
+ - mlabvposition
+ - msymbol
+ - name
+ - nodraw
+ - nogrid
+ - over
+ - plotregion
+ - position
+ - ring
+ - rows
+ - scale
+ - size
+ - start
+ - subtitle
+ - t1title
+ - t2title
+ - text
+ - textsize
+ - title
+ - xcommon
+ - xlabel
+ - xline
+ - xscale
+ - xsize
+ - xtitle
+ - ycommon
+ - ylabel
+ - yline
+ - yscale
+ - ysize
+ - ytitle
+ - zero
- - background
- - bg
- - black
- - blue
- - bluishgray
- - brown
- - cranberry
- - cyan
- - dimgray
- - dkgreen
- - dknavy
- - dkorange
- - ebblue
- - ebg
- - edkblue
- - eggshell
- - eltblue
- - eltgreen
- - emerald
- - emidblue
- - erose
- - fg
- - foreground
- - forest_green
- - gold
- - gray
- - green
- - gs
- - khaki
- - lavender
- - lime
- - ltblue
- - ltbluishgray
- - ltkhaki
- - magenta
- - maroon
- - midblue
- - midgreen
- - mint
- - navy
- - none
- - olive
- - olive_teal
- - orange
- - orange_red
- - pink
- - purple
- - red
- - sand
- - sandb
- - sienna
- - stone
- - teal
- - white
- - yellow
+ - background
+ - bg
+ - black
+ - blue
+ - bluishgray
+ - brown
+ - cranberry
+ - cyan
+ - dimgray
+ - dkgreen
+ - dknavy
+ - dkorange
+ - ebblue
+ - ebg
+ - edkblue
+ - eggshell
+ - eltblue
+ - eltgreen
+ - emerald
+ - emidblue
+ - erose
+ - fg
+ - foreground
+ - forest_green
+ - gold
+ - gray
+ - green
+ - gs
+ - khaki
+ - lavender
+ - lime
+ - ltblue
+ - ltbluishgray
+ - ltkhaki
+ - magenta
+ - maroon
+ - midblue
+ - midgreen
+ - mint
+ - navy
+ - none
+ - olive
+ - olive_teal
+ - orange
+ - orange_red
+ - pink
+ - purple
+ - red
+ - sand
+ - sandb
+ - sienna
+ - stone
+ - teal
+ - white
+ - yellow
- - accum
- - as
- - ascending
- - BASE
- - be
- - begin
- - bfgs
- - bhhh
- - brrweight
- - clean
- - close
- - clpatt [ern]
- - clwidth
- - cole
- - color
- - confirm
- - continue
- - cov(unstr)
- - cov(unstructured)
- - covariance
- - dash
- - define
- - deft
- - delmacs
- - detail
- - dev
- - deviations
- - dfp
- - difficult
- - dirname
- - do
- - effects
- - eform
- - else
- - emdots
- - emiterate
- - emlog
- - emonly
- - emtolerance
- - end
- - error
- - estmetric
- - exchangeable
- - exit
- - family
- - fe
- - fitted
- - force
- - foreach
- - forvalues
- - fpc
- - frequency
- - function
- - global
- - gtolerance
- - hessian
- - ic
- - identity
- - if
- - in
- - independent
- - intpoints
- - irr
- - iterate
- - jkrweight
- - lincom
- - linearized
- - local
- - long
- - ltolerance
- - macro
- - manage
- - meff
- - meft
- - minimize
- - mle
- - mse
- - multiplier
- - noclear
- - nocons
- - noconstant
- - nodots
- - nofetable
- - nogroup
- - noheader
- - nolog
- - nolrtest
- - none
- - nonrtolerance
- - noobs
- - noomit
- - noretable
- - nostderr
- - not
- - nr
- - of
- - offset
- - or
- - p
- - parse
- - patterns
- - poststrata
- - postweight
- - prefix
- - program
- - r2_p
- - reffects
- - reml
- - reset
- - resid
- - run
- - scale
- - shift
- - showstep
- - SITE
- - size
- - star
- - stats
- - STBPLUS
- - stfmt
- - store
- - strata
- - syntax
- - tempfile
- - tempname
- - tempvar
- - tokenize
- - tolerance
- - unstructured
- - until
- - UPDATES
- - weight
- - while
- - wide
- - window
- - x2
- - xb
+ - accum
+ - as
+ - ascending
+ - BASE
+ - be
+ - begin
+ - bfgs
+ - bhhh
+ - brrweight
+ - clean
+ - close
+ - clpatt
+ - clpattern
+ - clwidth
+ - cole
+ - color
+ - confirm
+ - continue
+ - cov(unstr)
+ - cov(unstructured)
+ - covariance
+ - dash
+ - define
+ - deft
+ - delmacs
+ - detail
+ - dev
+ - deviations
+ - dfp
+ - difficult
+ - dirname
+ - do
+ - effects
+ - eform
+ - else
+ - emdots
+ - emiterate
+ - emlog
+ - emonly
+ - emtolerance
+ - end
+ - error
+ - estmetric
+ - exchangeable
+ - exit
+ - family
+ - fe
+ - fitted
+ - force
+ - foreach
+ - forvalues
+ - fpc
+ - frequency
+ - function
+ - global
+ - gtolerance
+ - hessian
+ - ic
+ - identity
+ - if
+ - in
+ - independent
+ - intpoints
+ - irr
+ - iterate
+ - jkrweight
+ - lincom
+ - linearized
+ - local
+ - long
+ - ltolerance
+ - macro
+ - manage
+ - meff
+ - meft
+ - minimize
+ - mle
+ - mse
+ - multiplier
+ - noclear
+ - nocons
+ - noconstant
+ - nodots
+ - nofetable
+ - nogroup
+ - noheader
+ - nolog
+ - nolrtest
+ - none
+ - nonrtolerance
+ - noobs
+ - noomit
+ - noretable
+ - nostderr
+ - not
+ - nr
+ - of
+ - offset
+ - or
+ - p
+ - parse
+ - patterns
+ - poststrata
+ - postweight
+ - prefix
+ - program
+ - r2_p
+ - reffects
+ - reml
+ - reset
+ - resid
+ - run
+ - scale
+ - shift
+ - showstep
+ - SITE
+ - size
+ - star
+ - stats
+ - STBPLUS
+ - stfmt
+ - store
+ - strata
+ - syntax
+ - tempfile
+ - tempname
+ - tempvar
+ - tokenize
+ - tolerance
+ - unstructured
+ - until
+ - UPDATES
+ - weight
+ - while
+ - wide
+ - window
+ - x2
+ - xb
- - _b[
- - _coeff[
+ - _b[
+ - _coeff[
- - ereturn
- - rreturn
- - sreturn
+ - ereturn
+ - rreturn
+ - sreturn
- - e(
- - r(
- - s(
+ - e(
+ - r(
+ - s(
- - all
- - BASE
- - coleq
- - colfullnames
- - coln
- - colnames
- - constraint
- - data
- - dir
- - display
- - environment
- - format
- - l
- - label
- - length
- - list
- - nobreak
- - nofail
- - permname
- - PERSONAL
- - piece
- - PLUS
- - quoted
- - row
- - roweq
- - rowfullnames
- - rown
- - rownames
- - SITE
- - sortedby
- - STATA
- - strict
- - sysdir
- - tempfile
- - tempvar
- - tsnorm
- - UPDATES
- - value
- - variable
+ - all
+ - BASE
+ - coleq
+ - colfullnames
+ - coln
+ - colnames
+ - constraint
+ - data
+ - dir
+ - display
+ - environment
+ - format
+ - l
+ - label
+ - length
+ - list
+ - nobreak
+ - nofail
+ - permname
+ - PERSONAL
+ - piece
+ - PLUS
+ - quoted
+ - row
+ - roweq
+ - rowfullnames
+ - rown
+ - rownames
+ - SITE
+ - sortedby
+ - STATA
+ - strict
+ - sysdir
+ - tempfile
+ - tempvar
+ - tsnorm
+ - UPDATES
+ - value
+ - variable
- - allstring
- - append
- - args
- - aweight
- - born
- - by
- - bys
- - bysort
- - byte
- - capture
- - cfreq
- - clear
- - Cns
- - Co
- - collinear
- - columns
- - comma
- - compress
- - connect
- - console
- - cpercent
- - cr
- - d0
- - data
- - datestring
- - decode
- - delimit
- - depnames
- - desc
- - describe
- - di
- - diparm_options
- - display
- - double
- - drop
- - eclass
- - encode
- - esample
- - Ev
- - exec
- - fam
- - fdadescribe
- - fdasave
- - fdause
- - filefilter
- - float
- - format
- - fweight
- - gen
- - generate
- - gr [een]
- - gradient
- - hold
- - include
- - insert
- - insheet
- - int
- - iweight
- - k
- - keep
- - label
- - Ld
- - link
- - load
- - long
- - longstub
- - macrolen
- - markout
- - marksample
- - maximize
- - meanonly
- - mlmatsbysum
- - mlout
- - mode
- - model
- - more
- - N
- - namelen
- - noextend
- - nofootnote
- - noi
- - noisily
- - nolabel
- - nonotes
- - nopreserve
- - norescale
- - noscvars
- - nosummary
- - nototal
- - nrtolerance
- - obs
- - odbc
- - off
- - oim
- - on
- - oneway
- - opg
- - order
- - outfile
- - outsheet
- - percent
- - permanently
- - post
- - postclose
- - postfile
- - preserve
- - Psi
- - pweight
- - query
- - qui
- - quietly
- - rawsum
- - red
- - ren
- - rename
- - replace
- - Replay
- - report
- - repost
- - restore
- - robust
- - save
- - saving
- - SD
- - SE
- - search
- - set
- - shownrtolerance
- - sort
- - sqlfile
- - sqlshow
- - STATA
- - statistics
- - sum
- - summarize
- - t1title
- - t2title
- - tab
- - tabulate
- - technique
- - timer
- - total
- - unhold
- - unique
- - uniquemaster
- - uniqusing
- - use
- - using
- - V
- - values
- - variable
- - varlist
- - VCE
- - waldtest
- - width
- - xlabel
- - xmlsave
- - xmluse
- - yellow
- - ylabel
- - yline
+ - allstring
+ - append
+ - args
+ - aweight
+ - born
+ - by
+ - bys
+ - bysort
+ - byte
+ - capture
+ - cfreq
+ - clear
+ - Cns
+ - Co
+ - collinear
+ - columns
+ - comma
+ - compress
+ - connect
+ - console
+ - cpercent
+ - cr
+ - d0
+ - data
+ - datestring
+ - decode
+ - delimit
+ - depnames
+ - desc
+ - describe
+ - di
+ - diparm_options
+ - display
+ - double
+ - drop
+ - eclass
+ - encode
+ - esample
+ - Ev
+ - exec
+ - fam
+ - fdadescribe
+ - fdasave
+ - fdause
+ - filefilter
+ - float
+ - format
+ - fweight
+ - gen
+ - generate
+ - gr
+ - green
+ - gradient
+ - hold
+ - include
+ - insert
+ - insheet
+ - int
+ - iweight
+ - k
+ - keep
+ - label
+ - Ld
+ - link
+ - load
+ - long
+ - longstub
+ - macrolen
+ - markout
+ - marksample
+ - maximize
+ - meanonly
+ - mlmatsbysum
+ - mlout
+ - mode
+ - model
+ - more
+ - N
+ - namelen
+ - noextend
+ - nofootnote
+ - noi
+ - noisily
+ - nolabel
+ - nonotes
+ - nopreserve
+ - norescale
+ - noscvars
+ - nosummary
+ - nototal
+ - nrtolerance
+ - obs
+ - odbc
+ - off
+ - oim
+ - on
+ - oneway
+ - opg
+ - order
+ - outfile
+ - outsheet
+ - percent
+ - permanently
+ - post
+ - postclose
+ - postfile
+ - preserve
+ - Psi
+ - pweight
+ - query
+ - qui
+ - quietly
+ - rawsum
+ - red
+ - ren
+ - rename
+ - replace
+ - Replay
+ - report
+ - repost
+ - restore
+ - robust
+ - save
+ - saving
+ - SD
+ - SE
+ - search
+ - set
+ - shownrtolerance
+ - sort
+ - sqlfile
+ - sqlshow
+ - STATA
+ - statistics
+ - sum
+ - summarize
+ - t1title
+ - t2title
+ - tab
+ - tabulate
+ - technique
+ - timer
+ - total
+ - unhold
+ - unique
+ - uniquemaster
+ - uniqusing
+ - use
+ - using
+ - V
+ - values
+ - variable
+ - varlist
+ - VCE
+ - waldtest
+ - width
+ - xlabel
+ - xmlsave
+ - xmluse
+ - yellow
+ - ylabel
+ - yline
- - abs
- - acos
- - asin
- - atan
- - atan2
- - atanh
- - ceil
- - cloglog
- - comb
- - cos
- - digamma
- - exp
- - floor
- - invcloglog
- - invlogit
- - ln
- - lnfact
- - lnfactorial
- - lngamma
- - log
- - log10
- - max
- - min
- - mod
- - reldif
- - round
- - sign
- - sin
- - sqrt
- - sum
- - tan
- - tanh
- - trigamma
- - trunc
+ - abs
+ - acos
+ - asin
+ - atan
+ - atan2
+ - atanh
+ - ceil
+ - cloglog
+ - comb
+ - cos
+ - digamma
+ - exp
+ - floor
+ - invcloglog
+ - invlogit
+ - ln
+ - lnfact
+ - lnfactorial
+ - lngamma
+ - log
+ - log10
+ - max
+ - min
+ - mod
+ - reldif
+ - round
+ - sign
+ - sin
+ - sqrt
+ - sum
+ - tan
+ - tanh
+ - trigamma
+ - trunc
- - betaden
- - Binomial
- - binorm
- - binormal
- - chi2
- - chi2tail
- - dgammapda
- - dgammapdada
- - dgammapdadx
- - dgammapdx
- - dgammapdxdx
- - F
- - Fden
- - Ftail
- - gammaden
- - gammap
- - ibeta
- - invbinomial
- - invchi2
- - invchi2tail
- - invF
- - invFtail
- - invgammap
- - invibeta
- - invnchi2
- - invnFtail
- - invnibeta
- - invnorm
- - invnormal
- - invttail
- - nbetaden
- - nchi2
- - nFden
- - nFtail
- - nibeta
- - norm
- - normal
- - normalden
- - normd
- - npnchi2
- - tden
- - ttail
- - uniform()
+ - betaden
+ - Binomial
+ - binorm
+ - binormal
+ - chi2
+ - chi2tail
+ - dgammapda
+ - dgammapdada
+ - dgammapdadx
+ - dgammapdx
+ - dgammapdxdx
+ - F
+ - Fden
+ - Ftail
+ - gammaden
+ - gammap
+ - ibeta
+ - invbinomial
+ - invchi2
+ - invchi2tail
+ - invF
+ - invFtail
+ - invgammap
+ - invibeta
+ - invnchi2
+ - invnFtail
+ - invnibeta
+ - invnorm
+ - invnormal
+ - invttail
+ - nbetaden
+ - nchi2
+ - nFden
+ - nFtail
+ - nibeta
+ - norm
+ - normal
+ - normalden
+ - normd
+ - npnchi2
+ - tden
+ - ttail
+ - uniform()
- - abbrev
- - char
- - index
- - indexnot
- - length
- - lower
- - ltrim
- - match
- - plural
- - proper
- - real
- - regexm
- - regexr
- - regexs
- - reverse
- - rtrim
- - string
- - strlen
- - strlower
- - strltrim
- - strmatch
- - strofreal
- - strpos
- - strproper
- - strreverse
- - strrtrim
- - strtrim
- - strupper
- - subinstr
- - subinword
- - substr
- - trim
- - upper
- - word
- - wordcount
+ - abbrev
+ - char
+ - index
+ - indexnot
+ - length
+ - lower
+ - ltrim
+ - match
+ - plural
+ - proper
+ - real
+ - regexm
+ - regexr
+ - regexs
+ - reverse
+ - rtrim
+ - string
+ - strlen
+ - strlower
+ - strltrim
+ - strmatch
+ - strofreal
+ - strpos
+ - strproper
+ - strreverse
+ - strrtrim
+ - strtrim
+ - strupper
+ - subinstr
+ - subinword
+ - substr
+ - trim
+ - upper
+ - word
+ - wordcount
- - _caller
- - autocode
- - byteorder
- - chop
- - clip
- - cond
- - e
- - epsdouble
- - epsfloat
- - group
- - inlist
- - inrange
- - irecode
- - matrix
- - maxbyte
- - maxdouble
- - maxfloat
- - maxint
- - maxlong
- - mi
- - minbyte
- - mindouble
- - minfloat
- - minint
- - minlong
- - missing
- - r
- - recode
- - replay
- - return
- - s
- - scalar
+ - _caller
+ - autocode
+ - byteorder
+ - chop
+ - clip
+ - cond
+ - e
+ - epsdouble
+ - epsfloat
+ - group
+ - inlist
+ - inrange
+ - irecode
+ - matrix
+ - maxbyte
+ - maxdouble
+ - maxfloat
+ - maxint
+ - maxlong
+ - mi
+ - minbyte
+ - mindouble
+ - minfloat
+ - minint
+ - minlong
+ - missing
+ - r
+ - recode
+ - replay
+ - return
+ - s
+ - scalar
- - d
- - date
- - day
- - dow
- - doy
- - halfyear
- - mdy
- - month
- - quarter
- - week
- - year
+ - d
+ - date
+ - day
+ - dow
+ - doy
+ - halfyear
+ - mdy
+ - month
+ - quarter
+ - week
+ - year
- - d
- - daily
- - dofd
- - dofh
- - dofm
- - dofq
- - dofw
- - dofy
- - h
- - halfyearly
- - hofd
- - m
- - mofd
- - monthly
- - q
- - qofd
- - quarterly
- - tin
- - twithin
- - w
- - weekly
- - wofd
- - y
- - yearly
- - yh
- - ym
- - yofd
- - yq
- - yw
+ - d
+ - daily
+ - dofd
+ - dofh
+ - dofm
+ - dofq
+ - dofw
+ - dofy
+ - h
+ - halfyearly
+ - hofd
+ - m
+ - mofd
+ - monthly
+ - q
+ - qofd
+ - quarterly
+ - tin
+ - twithin
+ - w
+ - weekly
+ - wofd
+ - y
+ - yearly
+ - yh
+ - ym
+ - yofd
+ - yq
+ - yw
- - cholesky
- - colnumb
- - colsof
- - corr
- - det
- - diag
- - diag0cnt
- - el
- - get
- - hadamard
- - I
- - inv
- - invsym
- - issym
- - issymmetric
- - J
- - matmissing
- - matuniform
- - mreldif
- - nullmat
- - rownumb
- - rowsof
- - sweep
- - syminv
- - trace
- - vec
- - vecdiag
+ - cholesky
+ - colnumb
+ - colsof
+ - corr
+ - det
+ - diag
+ - diag0cnt
+ - el
+ - get
+ - hadamard
+ - I
+ - inv
+ - invsym
+ - issym
+ - issymmetric
+ - J
+ - matmissing
+ - matuniform
+ - mreldif
+ - nullmat
+ - rownumb
+ - rowsof
+ - sweep
+ - syminv
+ - trace
+ - vec
+ - vecdiag
- - anycount
- - anymatch
- - anyvalue
- - at
- - concat
- - count
- - cut
- - diff
- - ends
- - field
- - fill
- - group
- - groupicodes
- - head
- - iqr
- - kurt
- - label
- - last
- - lname
- - mad
- - max
- - maxlength
- - maxmode
- - mdev
- - mean
- - median
- - min
- - minmode
- - missing
- - mode
- - mtr
- - nummodemissing
- - pc prop
- - pctile
- - punct
- - rank
- - rowfirst
- - rowlast
- - rowmax
- - rowmean
- - rowmin
- - rowmiss
- - rownonmiss
- - rowsd
- - rowtotal
- - sd
- - seq
- - skew
- - std
- - strok
- - tag
- - tail
- - total
- - track
- - trim
- - truncate
+ - anycount
+ - anymatch
+ - anyvalue
+ - at
+ - concat
+ - count
+ - cut
+ - diff
+ - ends
+ - field
+ - fill
+ - group
+ - groupicodes
+ - head
+ - iqr
+ - kurt
+ - label
+ - last
+ - lname
+ - mad
+ - max
+ - maxlength
+ - maxmode
+ - mdev
+ - mean
+ - median
+ - min
+ - minmode
+ - missing
+ - mode
+ - mtr
+ - nummodemissing
+ - pc
+ - prop
+ - pctile
+ - punct
+ - rank
+ - rowfirst
+ - rowlast
+ - rowmax
+ - rowmean
+ - rowmin
+ - rowmiss
+ - rownonmiss
+ - rowsd
+ - rowtotal
+ - sd
+ - seq
+ - skew
+ - std
+ - strok
+ - tag
+ - tail
+ - total
+ - track
+ - trim
+ - truncate
- - _all
- - _column
- - _cons
- - _dta
- - _dup
- - _merge
- - _n
- - _newline
- - _pi
- - _rc
- - creturn
- - c(adopath)
- - c(adosize)
- - c(ALPHA)
- - c(born_date)
- - c(byteorder)
- - c(changed)
- - c(checksum)
- - c(cmdlen)
- - c(console)
- - c(copycolor)
- - c(current_date)
- - c(current_time)
- - c(dirsep)
- - c(dockable)
- - c(dockingguides)
- - c(dp)
- - c(epsdouble)
- - c(epsfloat)
- - c(filedate)
- - c(filename)
- - c(flavor)
- - c(graphics)
- - c(httpproxy)
- - c(httpproxyauth)
- - c(httpproxyhost)
- - c(httpproxyport)
- - c(httpproxypw)
- - c(httpproxyuser)
- - c(k)
- - c(level)
- - c(linegap)
- - c(linesize)
- - c(locksplitters)
- - c(logtype)
- - c(machine_type)
- - c(macrolen)
- - c(matacache)
- - c(matafavor)
- - c(matalibs)
- - c(matalnum)
- - c(matamofirst)
- - c(mataoptimize)
- - c(matastrict)
- - c(matsize)
- - c(max_cmdlen)
- - c(max_k_current)
- - c(max_k_theory)
- - c(max_macrolen)
- - c(max_matsize)
- - c(max_N_current)
- - c(max_N_theory)
- - c(max_width_current)
- - c(max_width_theory)
- - c(maxbyte)
- - c(maxdb)
- - c(maxdouble)
- - c(maxfloat)
- - c(maxint)
- - c(maxiter)
- - c(maxlong)
- - c(maxstrvarlen)
- - c(maxvar)
- - c(memory)
- - c(min_matsize)
- - c(minbyte)
- - c(mindouble)
- - c(minfloat)
- - c(minint)
- - c(minlong)
- - c(mode)
- - c(Mons)
- - c(Months)
- - c(more)
- - c(N)
- - c(namelen)
- - c(os)
- - c(osdtl)
- - c(pagesize)
- - c(persistfv)
- - c(persistvtopic)
- - c(pi)
- - c(printcolor)
- - c(pwd)
- - c(rc)
- - c(reventries)
- - c(rmsg_time)
- - c(rmsg)
- - c(scheme)
- - c(scrollbufsize)
- - c(SE)
- - c(searchdefault)
- - c(seed)
- - c(stata_version)
- - c(sysdir_base)
- - c(sysdir_oldplace)
- - c(sysdir_personal)
- - c(sysdir_plus)
- - c(sysdir_site)
- - c(sysdir_stata)
- - c(sysdir_updates)
- - c(timeout1)
- - c(timeout2)
- - c(trace)
- - c(tracedepth)
- - c(traceexpand)
- - c(tracehilite)
- - c(traceindent)
- - c(tracenumber)
- - c(tracesep)
- - c(type)
- - c(update_interval)
- - c(update_prompt)
- - c(update_query)
- - c(varabbrev)
- - c(varlabelpos)
- - c(version)
- - c(virtual)
- - c(Wdays)
- - c(Weekdays)
- - c(width)
- - c(xptheme)
+ - _all
+ - _column
+ - _cons
+ - _dta
+ - _dup
+ - _merge
+ - _n
+ - _newline
+ - _pi
+ - _rc
+ - creturn
+ - c(adopath)
+ - c(adosize)
+ - c(ALPHA)
+ - c(born_date)
+ - c(byteorder)
+ - c(changed)
+ - c(checksum)
+ - c(cmdlen)
+ - c(console)
+ - c(copycolor)
+ - c(current_date)
+ - c(current_time)
+ - c(dirsep)
+ - c(dockable)
+ - c(dockingguides)
+ - c(dp)
+ - c(epsdouble)
+ - c(epsfloat)
+ - c(filedate)
+ - c(filename)
+ - c(flavor)
+ - c(graphics)
+ - c(httpproxy)
+ - c(httpproxyauth)
+ - c(httpproxyhost)
+ - c(httpproxyport)
+ - c(httpproxypw)
+ - c(httpproxyuser)
+ - c(k)
+ - c(level)
+ - c(linegap)
+ - c(linesize)
+ - c(locksplitters)
+ - c(logtype)
+ - c(machine_type)
+ - c(macrolen)
+ - c(matacache)
+ - c(matafavor)
+ - c(matalibs)
+ - c(matalnum)
+ - c(matamofirst)
+ - c(mataoptimize)
+ - c(matastrict)
+ - c(matsize)
+ - c(max_cmdlen)
+ - c(max_k_current)
+ - c(max_k_theory)
+ - c(max_macrolen)
+ - c(max_matsize)
+ - c(max_N_current)
+ - c(max_N_theory)
+ - c(max_width_current)
+ - c(max_width_theory)
+ - c(maxbyte)
+ - c(maxdb)
+ - c(maxdouble)
+ - c(maxfloat)
+ - c(maxint)
+ - c(maxiter)
+ - c(maxlong)
+ - c(maxstrvarlen)
+ - c(maxvar)
+ - c(memory)
+ - c(min_matsize)
+ - c(minbyte)
+ - c(mindouble)
+ - c(minfloat)
+ - c(minint)
+ - c(minlong)
+ - c(mode)
+ - c(Mons)
+ - c(Months)
+ - c(more)
+ - c(N)
+ - c(namelen)
+ - c(os)
+ - c(osdtl)
+ - c(pagesize)
+ - c(persistfv)
+ - c(persistvtopic)
+ - c(pi)
+ - c(printcolor)
+ - c(pwd)
+ - c(rc)
+ - c(reventries)
+ - c(rmsg_time)
+ - c(rmsg)
+ - c(scheme)
+ - c(scrollbufsize)
+ - c(SE)
+ - c(searchdefault)
+ - c(seed)
+ - c(stata_version)
+ - c(sysdir_base)
+ - c(sysdir_oldplace)
+ - c(sysdir_personal)
+ - c(sysdir_plus)
+ - c(sysdir_site)
+ - c(sysdir_stata)
+ - c(sysdir_updates)
+ - c(timeout1)
+ - c(timeout2)
+ - c(trace)
+ - c(tracedepth)
+ - c(traceexpand)
+ - c(tracehilite)
+ - c(traceindent)
+ - c(tracenumber)
+ - c(tracesep)
+ - c(type)
+ - c(update_interval)
+ - c(update_prompt)
+ - c(update_query)
+ - c(varabbrev)
+ - c(varlabelpos)
+ - c(version)
+ - c(virtual)
+ - c(Wdays)
+ - c(Weekdays)
+ - c(width)
+ - c(xptheme)
- - adosize
- - checksum
- - copycolor
- - dockable
- - dockingguides
- - dp
- - graphics
- - httpproxy
- - httpproxyauth
- - httpproxyhost
- - httpproxyport
- - httpproxypw
- - httpproxyuser
- - level
- - linegap
- - linesize
- - locksplitters
- - logtype
- - matacache
- - matafavor
- - matalibs
- - matalnum
- - matamofirst
- - mataoptimize
- - matastrict
- - matsize
- - maxdb
- - maxiter
- - maxvar
- - memory
- - more
- - pagesize
- - persistfv
- - persistvtopic
- - printcolor
- - reventries
- - rmsg
- - scheme
- - scrollbufsize
- - searchdefault
- - seed
- - timeout1
- - timeout2
- - trace
- - tracedepth
- - traceexpand
- - tracehilite
- - traceindent
- - tracenumber
- - tracesep
- - type
- - update_interval
- - update_prompt
- - update_query
- - varabbrev
- - varlabelpos
- - version
- - virtual
- - xptheme
+ - adosize
+ - checksum
+ - copycolor
+ - dockable
+ - dockingguides
+ - dp
+ - graphics
+ - httpproxy
+ - httpproxyauth
+ - httpproxyhost
+ - httpproxyport
+ - httpproxypw
+ - httpproxyuser
+ - level
+ - linegap
+ - linesize
+ - locksplitters
+ - logtype
+ - matacache
+ - matafavor
+ - matalibs
+ - matalnum
+ - matamofirst
+ - mataoptimize
+ - matastrict
+ - matsize
+ - maxdb
+ - maxiter
+ - maxvar
+ - memory
+ - more
+ - pagesize
+ - persistfv
+ - persistvtopic
+ - printcolor
+ - reventries
+ - rmsg
+ - scheme
+ - scrollbufsize
+ - searchdefault
+ - seed
+ - timeout1
+ - timeout2
+ - trace
+ - tracedepth
+ - traceexpand
+ - tracehilite
+ - traceindent
+ - tracenumber
+ - tracesep
+ - type
+ - update_interval
+ - update_prompt
+ - update_query
+ - varabbrev
+ - varlabelpos
+ - version
+ - virtual
+ - xptheme
- - _a_cls_msg
- - _addgph
- - _assert
- - _assert_mreldif
- - _assert_mreldifp
- - _assert_mreldifs
- - _assert_obs
- - _assert_streq
- - _at
- - _bigtab
- - _binperfect
- - _binperfout
- - _biplotmat
- - _brr_sum
- - _bs_display
- - _bs_sum
- - _btcmd
- - _byoptnotallowed
- - _ca_parse_normalize
- - _callerr
- - _cci
- - _check_eformopt
- - _check4gropts
- - _choice_table
- - _ckirfset
- - _cknotsvaroi
- - _ckvec
- - _clsarr2list
- - _cmdxel
- - _coef_table
- - _coef_table_header
- - _confirm_date
- - _confirm_number_or_date
- - _copy_mat_stripes
- - _cpmatnm
- - _crc2use
- - _crc4fld
- - _crcacnt
- - _crcar1
- - _crcause
- - _crcbin
- - _crcbygr
- - _crcchi2
- - _crcchkw
- - _crccip
- - _crceprs
- - _crcgldv
- - _crcglil
- - _crcird
- - _crcirr
- - _crcmeq
- - _crcnuse
- - _crcor
- - _crcphdr
- - _crcra
- - _crcrd
- - _crcrr
- - _crcseq
- - _crcshdr
- - _crcslbl
- - _crcsrvc
- - _crcswxx
- - _crcunab
- - _crcunit
- - _crcvarl
- - _crcwsrv
- - _crczsku
- - _cvar
- - _date2elapsed
- - _diag2mat
- - _diparm
- - _diparm_8
- - _dots
- - _e2r
- - _egennoby
- - _evlist
- - _exp_list_expand
- - _exp_list_parse
- - _find_tsops
- - _fr_area_parse_and_log
- - _fr_aspect_parse_and_log
- - _fr_draw_rect
- - _fr_droplines_draw
- - _fr_erasearr
- - _fr_legend_parse_and_log
- - _fr_merged_implicit
- - _fr_runlog
- - _fr_sztextbox_parse_and_log
- - _fr_tbstyle_parse_and_log
- - _fr_tedits_parse_and_log
- - _fr_textbox_parse_and_log
- - _fr_title_parse_and_log
- - _fr_x_log_cleanup
- - _fr_x_log_create
- - _fracpp
- - _fracxo
- - _frr_sztextbox_pnl
- - _gany
- - _ganycount
- - _ganymatch
- - _ganyvalue
- - _gconcat
- - _gcount
- - _gcut
- - _gdiff
- - _gends
- - _geqany
- - _get_diparmopts
- - _get_diparmopts_8
- - _get_eformopts
- - _get_eqspec
- - _get_gropts
- - _get_irf
- - _get_offopt
- - _getbv
- - _getcovcorr
- - _getfilename
- - _getnewlabelname
- - _getrhs
- - _getvarcns
- - _getxel
- - _getxel2
- - _gfill
- - _ggroup
- - _giqr
- - _gkurt
- - _gm_edit
- - _gm_log
- - _gma
- - _gmad
- - _gmax
- - _gmdev
- - _gmean
- - _gmedian
- - _gmin
- - _gmode
- - _gmtr
- - _gneqany
- - _gpc
- - _gpctile
- - _gr_arrowhead
- - _gr_atomize_styles
- - _gr_common_axes
- - _gr_drawrect
- - _gr_linkstyles
- - _gr_symbol_of
- - _grank
- - _grfirst
- - _grlast
- - _grmax
- - _grmean
- - _grmin
- - _grmiss
- - _grobs
- - _growfirst
- - _growlast
- - _growmax
- - _growmean
- - _growmin
- - _growmiss
- - _grownonmiss
- - _growsd
- - _growtotal
- - _grsd
- - _grsum
- - _gs_addgrname
- - _gs_bygraph
- - _gs_clean_graphlist
- - _gs_default_bands
- - _gs_islivefile
- - _gs_parse_and_log_axoptions
- - _gs_parse_and_log_axtitle
- - _gs_parse_and_log_lines
- - _gs_parse_and_log_tickset
- - _gs_rdfilehdr
- - _gs_wrfilehdr
- - _gs_x_create
- - _gsd
- - _gseq
- - _gskew
- - _gstd
- - _gsum
- - _gtag
- - _gtotal
- - _hadamard_verify
- - _hw_comp
- - _hw_opt_d0
- - _hwsa_comp
- - _hwsa_opt_d0
- - _hwsm_comp
- - _hwsm_opt_d0
- - _isfit
- - _ivreg_project
- - _jk_nlegend
- - _jk_pseudo
- - _jk_sum
- - _labels2names
- - _linemax
- - _loop_brr
- - _loop_jknife
- - _loop_jknife_fw
- - _loop_jknife_iw
- - _loop_jknife2
- - _loop_rw
- - _lrtest7
- - _m2matrix
- - _m2scalar
- - _matplot
- - _matsort
- - _mdisplay
- - _mds_classical
- - _mds_dataheader
- - _mds_display
- - _mds_display_classical
- - _mds_euclidean
- - _mds_parse_dopts
- - _mds_parse_method
- - _mds_parse_s2d
- - _mds_s2d
- - _me_der
- - _me_der2
- - _me_derb
- - _me_derd
- - _me_l_der
- - _me_l_der2
- - _mfrmvec
- - _mka2
- - _mkg
- - _mkkmn
- - _mksigma
- - _mkvec
- - _mprobitestimator
- - _mtest
- - _mvec
- - _no_estat
- - _no_predict
- - _nobs
- - _on_colon_parse
- - _parmlist
- - _parse_optexp
- - _parsewt
- - _pk_p
- - _plotpos
- - _pred_me
- - _pred_se
- - _prefix_check4esample
- - _prefix_checkopt
- - _prefix_clear
- - _prefix_command
- - _prefix_display
- - _prefix_expand
- - _prefix_explist
- - _prefix_footnote
- - _prefix_getchars
- - _prefix_getmat
- - _prefix_legend
- - _prefix_mlogit
- - _prefix_model_test
- - _prefix_note
- - _prefix_reject
- - _prefix_relabel_eqns
- - _prefix_run_error
- - _prefix_saving
- - _prefix_title
- - _prefix_vcenotallowed
- - _qsort_index
- - _qsur
- - _r2e
- - _repost
- - _resample_warn
- - _restore_labels
- - _returnclear
- - _rmdcoll
- - _robust2
- - _roccom1
- - _roccom1_8
- - _rocsen
- - _rotate_clear
- - _rotate_text
- - _score_spec
- - _set_irf_vars
- - _shortenpath
- - _sigfm
- - _small2dotz
- - _stata_internalerror
- - _stcurv
- - _strip_labels
- - _sttrend
- - _stubstar2names
- - _sum_table
- - _sumaccum
- - _sunflower_binar
- - _svar_cnsmac
- - _svar_eqmac
- - _svar_newcns
- - _svar_post
- - _svard2
- - _svariden
- - _svaridenlr
- - _svarlrd2
- - _svd
- - _svy_check_cmdopts
- - _svy_check_fpc
- - _svy_check_postw
- - _svy_check_predict
- - _svy_check_vce
- - _svy_fpc_note
- - _svy_ftest
- - _svy_ivreg_first
- - _svy_mkdeff
- - _svy_mkmeff
- - _svy_mkvmsp
- - _svy_mkvsrs
- - _svy_newrule
- - _svy_setup
- - _svy_singleton_note
- - _svy_subpop
- - _svy_subpop_note
- - _svy_summarize
- - _svy_summarize_legend
- - _svy_tabulate
- - _svy2
- - _svydes_dlg
- - _svylc
- - _svyset
- - _sw_ood
- - _ts
- - _ts_dexp
- - _ts_exp
- - _ts_hw
- - _ts_hwsa
- - _ts_hwsm
- - _tsheadr
- - _tsinchk
- - _tsma
- - _ttest
- - _ttest1
- - _ttest2
- - _tutends
- - _var_mka
- - _varbsf
- - _vardisprmse
- - _varfcast
- - _varfcast_clear
- - _varfcast_fcast
- - _varfcast_graph
- - _varirf
- - _varsim
- - _vce_parserun
- - _vec_ckgraph
- - _vec_dreduced
- - _vec_grcroots
- - _vec_opck
- - _vec_pgparse
- - _vec_pgridplots
- - _vec_postvar
- - _vecauxdisp
- - _vecfcast_compute
- - _vecfcast_compute_w
- - _vecgetacns
- - _vecgetcv
- - _vecgtn
- - _vecmka
- - _vecmkapvp
- - _vecmkce
- - _vecmkgam
- - _vecmksi
- - _vecmktrend
- - _vecortho
- - _vecpclean
- - _vectparse
- - _vecu
- - _virf_add
- - _virf_char
- - _virf_fck
- - _virf_mknewfile
- - _virf_nlen
- - _virf_use
- - _writenum
- - _xtreg_chk_cl
+ - _a_cls_msg
+ - _addgph
+ - _assert
+ - _assert_mreldif
+ - _assert_mreldifp
+ - _assert_mreldifs
+ - _assert_obs
+ - _assert_streq
+ - _at
+ - _bigtab
+ - _binperfect
+ - _binperfout
+ - _biplotmat
+ - _brr_sum
+ - _bs_display
+ - _bs_sum
+ - _btcmd
+ - _byoptnotallowed
+ - _ca_parse_normalize
+ - _callerr
+ - _cci
+ - _check_eformopt
+ - _check4gropts
+ - _choice_table
+ - _ckirfset
+ - _cknotsvaroi
+ - _ckvec
+ - _clsarr2list
+ - _cmdxel
+ - _coef_table
+ - _coef_table_header
+ - _confirm_date
+ - _confirm_number_or_date
+ - _copy_mat_stripes
+ - _cpmatnm
+ - _crc2use
+ - _crc4fld
+ - _crcacnt
+ - _crcar1
+ - _crcause
+ - _crcbin
+ - _crcbygr
+ - _crcchi2
+ - _crcchkw
+ - _crccip
+ - _crceprs
+ - _crcgldv
+ - _crcglil
+ - _crcird
+ - _crcirr
+ - _crcmeq
+ - _crcnuse
+ - _crcor
+ - _crcphdr
+ - _crcra
+ - _crcrd
+ - _crcrr
+ - _crcseq
+ - _crcshdr
+ - _crcslbl
+ - _crcsrvc
+ - _crcswxx
+ - _crcunab
+ - _crcunit
+ - _crcvarl
+ - _crcwsrv
+ - _crczsku
+ - _cvar
+ - _date2elapsed
+ - _diag2mat
+ - _diparm
+ - _diparm_8
+ - _dots
+ - _e2r
+ - _egennoby
+ - _evlist
+ - _exp_list_expand
+ - _exp_list_parse
+ - _find_tsops
+ - _fr_area_parse_and_log
+ - _fr_aspect_parse_and_log
+ - _fr_draw_rect
+ - _fr_droplines_draw
+ - _fr_erasearr
+ - _fr_legend_parse_and_log
+ - _fr_merged_implicit
+ - _fr_runlog
+ - _fr_sztextbox_parse_and_log
+ - _fr_tbstyle_parse_and_log
+ - _fr_tedits_parse_and_log
+ - _fr_textbox_parse_and_log
+ - _fr_title_parse_and_log
+ - _fr_x_log_cleanup
+ - _fr_x_log_create
+ - _fracpp
+ - _fracxo
+ - _frr_sztextbox_pnl
+ - _gany
+ - _ganycount
+ - _ganymatch
+ - _ganyvalue
+ - _gconcat
+ - _gcount
+ - _gcut
+ - _gdiff
+ - _gends
+ - _geqany
+ - _get_diparmopts
+ - _get_diparmopts_8
+ - _get_eformopts
+ - _get_eqspec
+ - _get_gropts
+ - _get_irf
+ - _get_offopt
+ - _getbv
+ - _getcovcorr
+ - _getfilename
+ - _getnewlabelname
+ - _getrhs
+ - _getvarcns
+ - _getxel
+ - _getxel2
+ - _gfill
+ - _ggroup
+ - _giqr
+ - _gkurt
+ - _gm_edit
+ - _gm_log
+ - _gma
+ - _gmad
+ - _gmax
+ - _gmdev
+ - _gmean
+ - _gmedian
+ - _gmin
+ - _gmode
+ - _gmtr
+ - _gneqany
+ - _gpc
+ - _gpctile
+ - _gr_arrowhead
+ - _gr_atomize_styles
+ - _gr_common_axes
+ - _gr_drawrect
+ - _gr_linkstyles
+ - _gr_symbol_of
+ - _grank
+ - _grfirst
+ - _grlast
+ - _grmax
+ - _grmean
+ - _grmin
+ - _grmiss
+ - _grobs
+ - _growfirst
+ - _growlast
+ - _growmax
+ - _growmean
+ - _growmin
+ - _growmiss
+ - _grownonmiss
+ - _growsd
+ - _growtotal
+ - _grsd
+ - _grsum
+ - _gs_addgrname
+ - _gs_bygraph
+ - _gs_clean_graphlist
+ - _gs_default_bands
+ - _gs_islivefile
+ - _gs_parse_and_log_axoptions
+ - _gs_parse_and_log_axtitle
+ - _gs_parse_and_log_lines
+ - _gs_parse_and_log_tickset
+ - _gs_rdfilehdr
+ - _gs_wrfilehdr
+ - _gs_x_create
+ - _gsd
+ - _gseq
+ - _gskew
+ - _gstd
+ - _gsum
+ - _gtag
+ - _gtotal
+ - _hadamard_verify
+ - _hw_comp
+ - _hw_opt_d0
+ - _hwsa_comp
+ - _hwsa_opt_d0
+ - _hwsm_comp
+ - _hwsm_opt_d0
+ - _isfit
+ - _ivreg_project
+ - _jk_nlegend
+ - _jk_pseudo
+ - _jk_sum
+ - _labels2names
+ - _linemax
+ - _loop_brr
+ - _loop_jknife
+ - _loop_jknife_fw
+ - _loop_jknife_iw
+ - _loop_jknife2
+ - _loop_rw
+ - _lrtest7
+ - _m2matrix
+ - _m2scalar
+ - _matplot
+ - _matsort
+ - _mdisplay
+ - _mds_classical
+ - _mds_dataheader
+ - _mds_display
+ - _mds_display_classical
+ - _mds_euclidean
+ - _mds_parse_dopts
+ - _mds_parse_method
+ - _mds_parse_s2d
+ - _mds_s2d
+ - _me_der
+ - _me_der2
+ - _me_derb
+ - _me_derd
+ - _me_l_der
+ - _me_l_der2
+ - _mfrmvec
+ - _mka2
+ - _mkg
+ - _mkkmn
+ - _mksigma
+ - _mkvec
+ - _mprobitestimator
+ - _mtest
+ - _mvec
+ - _no_estat
+ - _no_predict
+ - _nobs
+ - _on_colon_parse
+ - _parmlist
+ - _parse_optexp
+ - _parsewt
+ - _pk_p
+ - _plotpos
+ - _pred_me
+ - _pred_se
+ - _prefix_check4esample
+ - _prefix_checkopt
+ - _prefix_clear
+ - _prefix_command
+ - _prefix_display
+ - _prefix_expand
+ - _prefix_explist
+ - _prefix_footnote
+ - _prefix_getchars
+ - _prefix_getmat
+ - _prefix_legend
+ - _prefix_mlogit
+ - _prefix_model_test
+ - _prefix_note
+ - _prefix_reject
+ - _prefix_relabel_eqns
+ - _prefix_run_error
+ - _prefix_saving
+ - _prefix_title
+ - _prefix_vcenotallowed
+ - _qsort_index
+ - _qsur
+ - _r2e
+ - _repost
+ - _resample_warn
+ - _restore_labels
+ - _returnclear
+ - _rmdcoll
+ - _robust2
+ - _roccom1
+ - _roccom1_8
+ - _rocsen
+ - _rotate_clear
+ - _rotate_text
+ - _score_spec
+ - _set_irf_vars
+ - _shortenpath
+ - _sigfm
+ - _small2dotz
+ - _stata_internalerror
+ - _stcurv
+ - _strip_labels
+ - _sttrend
+ - _stubstar2names
+ - _sum_table
+ - _sumaccum
+ - _sunflower_binar
+ - _svar_cnsmac
+ - _svar_eqmac
+ - _svar_newcns
+ - _svar_post
+ - _svard2
+ - _svariden
+ - _svaridenlr
+ - _svarlrd2
+ - _svd
+ - _svy_check_cmdopts
+ - _svy_check_fpc
+ - _svy_check_postw
+ - _svy_check_predict
+ - _svy_check_vce
+ - _svy_fpc_note
+ - _svy_ftest
+ - _svy_ivreg_first
+ - _svy_mkdeff
+ - _svy_mkmeff
+ - _svy_mkvmsp
+ - _svy_mkvsrs
+ - _svy_newrule
+ - _svy_setup
+ - _svy_singleton_note
+ - _svy_subpop
+ - _svy_subpop_note
+ - _svy_summarize
+ - _svy_summarize_legend
+ - _svy_tabulate
+ - _svy2
+ - _svydes_dlg
+ - _svylc
+ - _svyset
+ - _sw_ood
+ - _ts
+ - _ts_dexp
+ - _ts_exp
+ - _ts_hw
+ - _ts_hwsa
+ - _ts_hwsm
+ - _tsheadr
+ - _tsinchk
+ - _tsma
+ - _ttest
+ - _ttest1
+ - _ttest2
+ - _tutends
+ - _var_mka
+ - _varbsf
+ - _vardisprmse
+ - _varfcast
+ - _varfcast_clear
+ - _varfcast_fcast
+ - _varfcast_graph
+ - _varirf
+ - _varsim
+ - _vce_parserun
+ - _vec_ckgraph
+ - _vec_dreduced
+ - _vec_grcroots
+ - _vec_opck
+ - _vec_pgparse
+ - _vec_pgridplots
+ - _vec_postvar
+ - _vecauxdisp
+ - _vecfcast_compute
+ - _vecfcast_compute_w
+ - _vecgetacns
+ - _vecgetcv
+ - _vecgtn
+ - _vecmka
+ - _vecmkapvp
+ - _vecmkce
+ - _vecmkgam
+ - _vecmksi
+ - _vecmktrend
+ - _vecortho
+ - _vecpclean
+ - _vectparse
+ - _vecu
+ - _virf_add
+ - _virf_char
+ - _virf_fck
+ - _virf_mknewfile
+ - _virf_nlen
+ - _virf_use
+ - _writenum
+ - _xtreg_chk_cl
- - ac
- - ac_7
- - acprplot
- - acprplot_7
- - adjust
- - adopath
- - alpha
- - ameans
- - anova_estat
- - anova_terms
- - aorder
- - arch
- - arch_dr
- - arch_estat
- - arch_p
- - archlm
- - areg
- - areg_p
- - arima
- - arima_dr
- - arima_estat
- - arima_p
- - asmprobit
- - asmprobit_estat
- - asmprobit_lf
- - asmprobit_p
- - avplot
- - avplot_7
- - avplots
- - avplots_7
- - bcskew0
- - bgodfrey
- - binreg
- - bip0_lf
- - biplot
- - bipp_lf
- - bipr_lf
- - bipr_p
- - biprobit
- - bitest
- - bitesti
- - bitowt
- - blogit
- - bmemsize
- - boot
- - bootsamp
- - bootstrap
- - bootstrap_8
- - boxco_l
- - boxco_p
- - boxcox
- - boxcox_6
- - boxcox_p
- - bprobit
- - brier
- - brr
- - brrstat
- - bs
- - bs_7
- - bsampl_w
- - bsample
- - bsample_7
- - bsqreg
- - bstat
- - bstat_7
- - bstat_8
- - bstrap
- - bstrap_7
- - ca
- - ca_estat
- - ca_p
- - cabiplot
- - camat
- - canon
- - canon_8
- - canon_8_p
- - canon_estat
- - canon_p
- - caprojection
- - cc
- - cchart
- - cchart_7
- - cci
- - censobs_table
- - centile
- - cf
- - checkdlgfiles
- - checkhlpfiles
- - ci
- - cii
- - classutil
- - clear
- - clo
- - clog
- - clog_lf
- - clog_p
- - clogi
- - clogi_sw
- - clogit
- - clogit_lf
- - clogit_p
- - clogitp
- - clogl_sw
- - cloglog
- - clonevar
- - clslistarray
- - cluster
- - cluster_measures
- - cluster_stop
- - cluster_tree
- - cluster_tree_8
- - clustermat
- - cnr
- - cnre
- - cnreg
- - cnreg_p
- - cnreg_sw
- - cnsreg
- - codebook
- - collaps4
- - collapse
- - colormult_nb
- - colormult_nw
- - compare
- - conren
- - contract
- - copyright
- - copysource
- - corc
- - corr_anti
- - corr_kmo
- - corr_smc
- - corr2data
- - corrgram
- - cox_p
- - cox_sw
- - coxbase
- - coxhaz
- - coxvar
- - cprplot
- - cprplot_7
- - crc
- - cross
- - cs
- - cscript
- - cscript_log
- - csi
- - ct
- - ct_is
- - ctset
- - ctst_5
- - ctst_st
- - cttost
- - cumsp
- - cumsp_7
- - cumul
- - cusum
- - cusum_7
- - cutil
+ - ac
+ - ac_7
+ - acprplot
+ - acprplot_7
+ - adjust
+ - adopath
+ - alpha
+ - ameans
+ - anova_estat
+ - anova_terms
+ - aorder
+ - arch
+ - arch_dr
+ - arch_estat
+ - arch_p
+ - archlm
+ - areg
+ - areg_p
+ - arima
+ - arima_dr
+ - arima_estat
+ - arima_p
+ - asmprobit
+ - asmprobit_estat
+ - asmprobit_lf
+ - asmprobit_p
+ - avplot
+ - avplot_7
+ - avplots
+ - avplots_7
+ - bcskew0
+ - bgodfrey
+ - binreg
+ - bip0_lf
+ - biplot
+ - bipp_lf
+ - bipr_lf
+ - bipr_p
+ - biprobit
+ - bitest
+ - bitesti
+ - bitowt
+ - blogit
+ - bmemsize
+ - boot
+ - bootsamp
+ - bootstrap
+ - bootstrap_8
+ - boxco_l
+ - boxco_p
+ - boxcox
+ - boxcox_6
+ - boxcox_p
+ - bprobit
+ - brier
+ - brr
+ - brrstat
+ - bs
+ - bs_7
+ - bsampl_w
+ - bsample
+ - bsample_7
+ - bsqreg
+ - bstat
+ - bstat_7
+ - bstat_8
+ - bstrap
+ - bstrap_7
+ - ca
+ - ca_estat
+ - ca_p
+ - cabiplot
+ - camat
+ - canon
+ - canon_8
+ - canon_8_p
+ - canon_estat
+ - canon_p
+ - caprojection
+ - cc
+ - cchart
+ - cchart_7
+ - cci
+ - censobs_table
+ - centile
+ - cf
+ - checkdlgfiles
+ - checkhlpfiles
+ - ci
+ - cii
+ - classutil
+ - clear
+ - clo
+ - clog
+ - clog_lf
+ - clog_p
+ - clogi
+ - clogi_sw
+ - clogit
+ - clogit_lf
+ - clogit_p
+ - clogitp
+ - clogl_sw
+ - cloglog
+ - clonevar
+ - clslistarray
+ - cluster
+ - cluster_measures
+ - cluster_stop
+ - cluster_tree
+ - cluster_tree_8
+ - clustermat
+ - cnr
+ - cnre
+ - cnreg
+ - cnreg_p
+ - cnreg_sw
+ - cnsreg
+ - codebook
+ - collaps4
+ - collapse
+ - colormult_nb
+ - colormult_nw
+ - compare
+ - conren
+ - contract
+ - copyright
+ - copysource
+ - corc
+ - corr_anti
+ - corr_kmo
+ - corr_smc
+ - corr2data
+ - corrgram
+ - cox_p
+ - cox_sw
+ - coxbase
+ - coxhaz
+ - coxvar
+ - cprplot
+ - cprplot_7
+ - crc
+ - cross
+ - cs
+ - cscript
+ - cscript_log
+ - csi
+ - ct
+ - ct_is
+ - ctset
+ - ctst_5
+ - ctst_st
+ - cttost
+ - cumsp
+ - cumsp_7
+ - cumul
+ - cusum
+ - cusum_7
+ - cutil
- - d
- - datetof
- - db
- - dbeta
- - de
- - deff
- - des
- - desc
- - descr
- - descri
- - describ
- - describe
- - destring
- - dfbeta
- - dfgls
- - dfuller
- - dirstats
- - disp_res
- - disp_s
- - dotplot
- - dotplot_7
- - dprobit
- - drawnorm
- - ds
- - ds_util
- - dstdize
- - duplicates
- - durbina
- - dwstat
- - dydx
- - egen
- - eivreg
- - emdef
- - eq
- - ereg
- - ereg_lf
- - ereg_p
- - ereg_sw
- - ereghet
- - ereghet_glf
- - ereghet_glf_sh
- - ereghet_gp
- - ereghet_ilf
- - ereghet_ilf_sh
- - ereghet_ip
- - est
- - est_cfexist
- - est_cfname
- - est_clickable
- - est_expand
- - est_hold
- - est_table
- - est_unhold
- - est_unholdok
- - estat
- - estat_default
- - estat_summ
- - estat_vce_only
- - esti
- - estimates
- - etodow
- - etof
- - etomdy
- - expandcl
- - fac
- - fact
- - facto
- - factor
- - factor_estat
- - factor_p
- - factor_pca_rotated
- - factor_rotate
- - factormat
- - fcast
- - fcast_compute
- - fcast_graph
- - fh_st
- - fillin
- - find_hlp_file
- - findfile
- - findit
- - findit_7
- - fit
- - for
- - for5_0
- - fpredict
- - frac_154
- - frac_adj
- - frac_chk
- - frac_cox
- - frac_ddp
- - frac_dis
- - frac_dv
- - frac_in
- - frac_mun
- - frac_pp
- - frac_pq
- - frac_pv
- - frac_wgt
- - frac_xo
- - fracgen
- - fracplot
- - fracplot_7
- - fracpoly
- - fracpred
- - fron_ex
- - fron_hn
- - fron_p
- - fron_tn
- - fron_tn2
- - frontier
- - ftodate
- - ftoe
- - ftomdy
- - ftowdate
+ - d
+ - datetof
+ - db
+ - dbeta
+ - de
+ - deff
+ - des
+ - desc
+ - descr
+ - descri
+ - describ
+ - describe
+ - destring
+ - dfbeta
+ - dfgls
+ - dfuller
+ - dirstats
+ - disp_res
+ - disp_s
+ - dotplot
+ - dotplot_7
+ - dprobit
+ - drawnorm
+ - ds
+ - ds_util
+ - dstdize
+ - duplicates
+ - durbina
+ - dwstat
+ - dydx
+ - egen
+ - eivreg
+ - emdef
+ - eq
+ - ereg
+ - ereg_lf
+ - ereg_p
+ - ereg_sw
+ - ereghet
+ - ereghet_glf
+ - ereghet_glf_sh
+ - ereghet_gp
+ - ereghet_ilf
+ - ereghet_ilf_sh
+ - ereghet_ip
+ - est
+ - est_cfexist
+ - est_cfname
+ - est_clickable
+ - est_expand
+ - est_hold
+ - est_table
+ - est_unhold
+ - est_unholdok
+ - estat
+ - estat_default
+ - estat_summ
+ - estat_vce_only
+ - esti
+ - estimates
+ - etodow
+ - etof
+ - etomdy
+ - expandcl
+ - fac
+ - fact
+ - facto
+ - factor
+ - factor_estat
+ - factor_p
+ - factor_pca_rotated
+ - factor_rotate
+ - factormat
+ - fcast
+ - fcast_compute
+ - fcast_graph
+ - fh_st
+ - fillin
+ - find_hlp_file
+ - findfile
+ - findit
+ - findit_7
+ - fit
+ - for
+ - for5_0
+ - fpredict
+ - frac_154
+ - frac_adj
+ - frac_chk
+ - frac_cox
+ - frac_ddp
+ - frac_dis
+ - frac_dv
+ - frac_in
+ - frac_mun
+ - frac_pp
+ - frac_pq
+ - frac_pv
+ - frac_wgt
+ - frac_xo
+ - fracgen
+ - fracplot
+ - fracplot_7
+ - fracpoly
+ - fracpred
+ - fron_ex
+ - fron_hn
+ - fron_p
+ - fron_tn
+ - fron_tn2
+ - frontier
+ - ftodate
+ - ftoe
+ - ftomdy
+ - ftowdate
- - gamhet_glf
- - gamhet_gp
- - gamhet_ilf
- - gamhet_ip
- - gamma
- - gamma_d2
- - gamma_p
- - gamma_sw
- - gammahet
- - gdi_hexagon
- - gdi_spokes
- - genrank
- - genstd
- - genvmean
- - gladder
- - gladder_7
- - glim_l01
- - glim_l02
- - glim_l03
- - glim_l04
- - glim_l05
- - glim_l06
- - glim_l07
- - glim_l08
- - glim_l09
- - glim_l10
- - glim_l11
- - glim_l12
- - glim_lf
- - glim_mu
- - glim_nw1
- - glim_nw2
- - glim_nw3
- - glim_p
- - glim_v1
- - glim_v2
- - glim_v3
- - glim_v4
- - glim_v5
- - glim_v6
- - glim_v7
- - glm
- - glm_6
- - glm_p
- - glm_sw
- - glmpred
- - glogit
- - glogit_8
- - glogit_p
- - gmeans
- - gnbre_lf
- - gnbreg
- - gnbreg_5
- - gnbreg_p
- - gomp_lf
- - gompe_sw
- - gomper_p
- - gompertz
- - gompertzhet
- - gomphet_glf
- - gomphet_glf_sh
- - gomphet_gp
- - gomphet_ilf
- - gomphet_ilf_sh
- - gomphet_ip
- - gphdot
- - gphpen
- - gphprint
- - gprobi_p
- - gprobit
- - gprobit_8
- - gr
- - gr_copy
- - gr_current
- - gr_db
- - gr_describe
- - gr_dir
- - gr_draw
- - gr_draw_replay
- - gr_drop
- - gr_edit
- - gr_editviewopts
- - gr_example
- - gr_example2
- - gr_export
- - gr_print
- - gr_qscheme
- - gr_query
- - gr_read
- - gr_rename
- - gr_replay
- - gr_save
- - gr_set
- - gr_setscheme
- - gr_table
- - gr_undo
- - gr_use
- - graph
- - grebar
- - greigen
- - greigen_7
- - greigen_8
- - grmeanby
- - grmeanby_7
- - gs_fileinfo
- - gs_filetype
- - gs_graphinfo
- - gs_stat
- - gsort
- - gwood
- - h
- - hadimvo
- - hareg
- - hausman
- - he
- - heck_d2
- - heckma_p
- - heckman
- - heckp_lf
- - heckpr_p
- - heckprob
- - hel
- - help
- - hereg
- - hetpr_lf
- - hetpr_p
- - hetprob
- - hettest
- - hilite
- - hist
- - hist_7
- - histogram
- - hlogit
- - hlu
- - hmeans
- - hotel
- - hotelling
- - hprobit
- - hreg
- - icd9
- - icd9_ff
- - icd9p
- - iis
- - impute
- - imtest
- - inbase
- - integ
- - inten
- - intreg
- - intreg_7
- - intreg_p
- - intrg_ll
- - intrg_ll2
- - intrg2_ll
- - ipolate
- - iqreg
- - ir
- - irf
- - irf_create
- - irfm
- - iri
- - is_svy
- - is_svysum
- - isid
- - istdize
- - ivprob_1_lf
- - ivprob_lf
- - ivprobit
- - ivprobit_p
- - ivreg
- - ivreg_footnote
- - ivtob_1_lf
- - ivtob_lf
- - ivtobit
- - ivtobit_p
+ - gamhet_glf
+ - gamhet_gp
+ - gamhet_ilf
+ - gamhet_ip
+ - gamma
+ - gamma_d2
+ - gamma_p
+ - gamma_sw
+ - gammahet
+ - gdi_hexagon
+ - gdi_spokes
+ - genrank
+ - genstd
+ - genvmean
+ - gladder
+ - gladder_7
+ - glim_l01
+ - glim_l02
+ - glim_l03
+ - glim_l04
+ - glim_l05
+ - glim_l06
+ - glim_l07
+ - glim_l08
+ - glim_l09
+ - glim_l10
+ - glim_l11
+ - glim_l12
+ - glim_lf
+ - glim_mu
+ - glim_nw1
+ - glim_nw2
+ - glim_nw3
+ - glim_p
+ - glim_v1
+ - glim_v2
+ - glim_v3
+ - glim_v4
+ - glim_v5
+ - glim_v6
+ - glim_v7
+ - glm
+ - glm_6
+ - glm_p
+ - glm_sw
+ - glmpred
+ - glogit
+ - glogit_8
+ - glogit_p
+ - gmeans
+ - gnbre_lf
+ - gnbreg
+ - gnbreg_5
+ - gnbreg_p
+ - gomp_lf
+ - gompe_sw
+ - gomper_p
+ - gompertz
+ - gompertzhet
+ - gomphet_glf
+ - gomphet_glf_sh
+ - gomphet_gp
+ - gomphet_ilf
+ - gomphet_ilf_sh
+ - gomphet_ip
+ - gphdot
+ - gphpen
+ - gphprint
+ - gprobi_p
+ - gprobit
+ - gprobit_8
+ - gr
+ - gr_copy
+ - gr_current
+ - gr_db
+ - gr_describe
+ - gr_dir
+ - gr_draw
+ - gr_draw_replay
+ - gr_drop
+ - gr_edit
+ - gr_editviewopts
+ - gr_example
+ - gr_example2
+ - gr_export
+ - gr_print
+ - gr_qscheme
+ - gr_query
+ - gr_read
+ - gr_rename
+ - gr_replay
+ - gr_save
+ - gr_set
+ - gr_setscheme
+ - gr_table
+ - gr_undo
+ - gr_use
+ - graph
+ - grebar
+ - greigen
+ - greigen_7
+ - greigen_8
+ - grmeanby
+ - grmeanby_7
+ - gs_fileinfo
+ - gs_filetype
+ - gs_graphinfo
+ - gs_stat
+ - gsort
+ - gwood
+ - h
+ - hadimvo
+ - hareg
+ - hausman
+ - he
+ - heck_d2
+ - heckma_p
+ - heckman
+ - heckp_lf
+ - heckpr_p
+ - heckprob
+ - hel
+ - help
+ - hereg
+ - hetpr_lf
+ - hetpr_p
+ - hetprob
+ - hettest
+ - hilite
+ - hist
+ - hist_7
+ - histogram
+ - hlogit
+ - hlu
+ - hmeans
+ - hotel
+ - hotelling
+ - hprobit
+ - hreg
+ - icd9
+ - icd9_ff
+ - icd9p
+ - iis
+ - impute
+ - imtest
+ - inbase
+ - integ
+ - inten
+ - intreg
+ - intreg_7
+ - intreg_p
+ - intrg_ll
+ - intrg_ll2
+ - intrg2_ll
+ - ipolate
+ - iqreg
+ - ir
+ - irf
+ - irf_create
+ - irfm
+ - iri
+ - is_svy
+ - is_svysum
+ - isid
+ - istdize
+ - ivprob_1_lf
+ - ivprob_lf
+ - ivprobit
+ - ivprobit_p
+ - ivreg
+ - ivreg_footnote
+ - ivtob_1_lf
+ - ivtob_lf
+ - ivtobit
+ - ivtobit_p
- - jackknife
- - jacknife
- - jknife
- - jknife_6
- - jknife_8
- - jkstat
- - joinby
- - kalarma1
- - kap
- - kap_3
- - kapmeier
- - kappa
- - kapwgt
- - kdensity
- - kdensity_7
- - ksm
- - ksmirnov
- - ktau
- - kwallis
- - labelbook
- - ladder
- - levels
- - levelsof
- - leverage
- - lfit
- - lfit_p
- - lincom
- - line
- - linktest
- - lloghet_glf
- - lloghet_glf_sh
- - lloghet_gp
- - lloghet_ilf
- - lloghet_ilf_sh
- - lloghet_ip
- - llogi_sw
- - llogis_p
- - llogist
- - llogistic
- - llogistichet
- - lnorm_lf
- - lnorm_sw
- - lnorma_p
- - lnormal
- - lnormalhet
- - lnormhet_glf
- - lnormhet_glf_sh
- - lnormhet_gp
- - lnormhet_ilf
- - lnormhet_ilf_sh
- - lnormhet_ip
- - lnskew0
- - loadingplot
- - logi
- - logis_lf
- - logistic
- - logistic_p
- - logit
- - logit_estat
- - logit_p
- - loglogs
- - logrank
- - loneway
- - lookfor
- - lowess
- - lowess_7
- - lpredict
- - lrecomp
- - lroc
- - lroc_7
- - lrtest
- - lsens
- - lsens_7
- - lsens_x
- - lstat
- - ltable
- - ltable_7
- - ltriang
- - lv
- - lvr2plot
- - lvr2plot_7
+ - jackknife
+ - jacknife
+ - jknife
+ - jknife_6
+ - jknife_8
+ - jkstat
+ - joinby
+ - kalarma1
+ - kap
+ - kap_3
+ - kapmeier
+ - kappa
+ - kapwgt
+ - kdensity
+ - kdensity_7
+ - ksm
+ - ksmirnov
+ - ktau
+ - kwallis
+ - labelbook
+ - ladder
+ - levels
+ - levelsof
+ - leverage
+ - lfit
+ - lfit_p
+ - lincom
+ - line
+ - linktest
+ - lloghet_glf
+ - lloghet_glf_sh
+ - lloghet_gp
+ - lloghet_ilf
+ - lloghet_ilf_sh
+ - lloghet_ip
+ - llogi_sw
+ - llogis_p
+ - llogist
+ - llogistic
+ - llogistichet
+ - lnorm_lf
+ - lnorm_sw
+ - lnorma_p
+ - lnormal
+ - lnormalhet
+ - lnormhet_glf
+ - lnormhet_glf_sh
+ - lnormhet_gp
+ - lnormhet_ilf
+ - lnormhet_ilf_sh
+ - lnormhet_ip
+ - lnskew0
+ - loadingplot
+ - logi
+ - logis_lf
+ - logistic
+ - logistic_p
+ - logit
+ - logit_estat
+ - logit_p
+ - loglogs
+ - logrank
+ - loneway
+ - lookfor
+ - lowess
+ - lowess_7
+ - lpredict
+ - lrecomp
+ - lroc
+ - lroc_7
+ - lrtest
+ - lsens
+ - lsens_7
+ - lsens_x
+ - lstat
+ - ltable
+ - ltable_7
+ - ltriang
+ - lv
+ - lvr2plot
+ - lvr2plot_7
- - makecns
- - manova_estat
- - manova_p
- - mantel
- - mat_capp
- - mat_order
- - mat_rapp
- - mata_matdescribe
- - mata_matsave
- - mata_matuse
- - matalabel
- - matcproc
- - matlist
- - matname
- - matstrik
- - mcc
- - mcci
- - md0_
- - md1_
- - md1debug_
- - md2_
- - md2debug_
- - mds
- - mds_estat
- - mds_p
- - mdsconfig
- - mdslong
- - mdsmat
- - mdsshepard
- - mdytoe
- - mdytof
- - me_derd
- - mean
- - means
- - median
- - memsize
- - meqparse
- - mer
- - merg
- - merge
- - mfp
- - mfx
- - mhelp
- - mhodds
- - mixed_ll
- - mixed_ll_reparm
- - mkassert
- - mkmat
- - mkspline
- - ml
- - ml_5
- - ml_adjs
- - ml_bhhhs
- - ml_c_d
- - ml_check
- - ml_clear
- - ml_cnt
- - ml_debug
- - ml_defd
- - ml_e0
- - ml_e0_bfgs
- - ml_e0_cycle
- - ml_e0_dfp
- - ml_e0i
- - ml_e1
- - ml_e1_bfgs
- - ml_e1_bhhh
- - ml_e1_cycle
- - ml_e1_dfp
- - ml_e2
- - ml_e2_cycle
- - ml_ebfg0
- - ml_ebfr0
- - ml_ebfr1
- - ml_ebh0q
- - ml_ebhh0
- - ml_ebhr0
- - ml_ebr0i
- - ml_ecr0i
- - ml_edfp0
- - ml_edfr0
- - ml_edfr1
- - ml_edr0i
- - ml_eds
- - ml_eer0i
- - ml_egr0i
- - ml_elf
- - ml_elf_bfgs
- - ml_elf_bhhh
- - ml_elf_cycle
- - ml_elf_dfp
- - ml_elfi
- - ml_elfs
- - ml_enr0i
- - ml_enrr0
- - ml_erdu0
- - ml_erdu0_bfgs
- - ml_erdu0_bhhh
- - ml_erdu0_bhhhq
- - ml_erdu0_cycle
- - ml_erdu0_dfp
- - ml_erdu0_nrbfgs
- - ml_exde
- - ml_footnote
- - ml_geqnr
- - ml_grad0
- - ml_graph
- - ml_hbhhh
- - ml_hd0
- - ml_hold
- - ml_init
- - ml_inv
- - ml_log
- - ml_max
- - ml_mlout
- - ml_model
- - ml_nb0
- - ml_opt
- - ml_p
- - ml_plot
- - ml_query
- - ml_rdgrd
- - ml_repor
- - ml_s_e
- - ml_score
- - ml_searc
- - ml_technique
- - ml_unhold
- - mlf_
- - mlog
- - mlogi
- - mlogit
- - mlogit_footnote
- - mlogit_p
- - mlopts
- - mnl0_
- - mprobit
- - mprobit_lf
- - mprobit_p
- - mrdu0_
- - mrdu1_
- - mvdecode
- - mvencode
- - mvreg
- - mvreg_estat
- - nbreg
- - nbreg_al
- - nbreg_lf
- - nbreg_p
- - nbreg_sw
- - newey
- - newey_7
- - newey_p
- - nl
- - nl_7
- - nl_p
- - nl_p_7
- - nlcom
- - nlcom_p
- - nlexp2
- - nlexp2_7
- - nlexp2a
- - nlexp2a_7
- - nlexp3
- - nlexp3_7
- - nlgom3
- - nlgom3_7
- - nlgom4
- - nlgom4_7
- - nlinit
- - nllog3
- - nllog3_7
- - nllog4
- - nllog4_7
- - nlog_rd
- - nlogit
- - nlogit_p
- - nlogitgen
- - nlogittree
- - nlpred
- - note
- - notes
- - nptrend
- - numlabel
- - old_ver
- - olo
- - olog
- - ologi
- - ologi_sw
- - ologit
- - ologit_p
- - ologitp
- - op_colnm
- - op_comp
- - op_diff
- - op_inv
- - op_str
- - opr
- - opro
- - oprob
- - oprob_sw
- - oprobi
- - oprobi_p
- - oprobit
- - oprobitp
- - opts_exclusive
- - orthog
- - orthpoly
- - ovtest
+ - makecns
+ - manova_estat
+ - manova_p
+ - mantel
+ - mat_capp
+ - mat_order
+ - mat_rapp
+ - mata_matdescribe
+ - mata_matsave
+ - mata_matuse
+ - matalabel
+ - matcproc
+ - matlist
+ - matname
+ - matstrik
+ - mcc
+ - mcci
+ - md0_
+ - md1_
+ - md1debug_
+ - md2_
+ - md2debug_
+ - mds
+ - mds_estat
+ - mds_p
+ - mdsconfig
+ - mdslong
+ - mdsmat
+ - mdsshepard
+ - mdytoe
+ - mdytof
+ - me_derd
+ - mean
+ - means
+ - median
+ - memsize
+ - meqparse
+ - mer
+ - merg
+ - merge
+ - mfp
+ - mfx
+ - mhelp
+ - mhodds
+ - mixed_ll
+ - mixed_ll_reparm
+ - mkassert
+ - mkmat
+ - mkspline
+ - ml
+ - ml_5
+ - ml_adjs
+ - ml_bhhhs
+ - ml_c_d
+ - ml_check
+ - ml_clear
+ - ml_cnt
+ - ml_debug
+ - ml_defd
+ - ml_e0
+ - ml_e0_bfgs
+ - ml_e0_cycle
+ - ml_e0_dfp
+ - ml_e0i
+ - ml_e1
+ - ml_e1_bfgs
+ - ml_e1_bhhh
+ - ml_e1_cycle
+ - ml_e1_dfp
+ - ml_e2
+ - ml_e2_cycle
+ - ml_ebfg0
+ - ml_ebfr0
+ - ml_ebfr1
+ - ml_ebh0q
+ - ml_ebhh0
+ - ml_ebhr0
+ - ml_ebr0i
+ - ml_ecr0i
+ - ml_edfp0
+ - ml_edfr0
+ - ml_edfr1
+ - ml_edr0i
+ - ml_eds
+ - ml_eer0i
+ - ml_egr0i
+ - ml_elf
+ - ml_elf_bfgs
+ - ml_elf_bhhh
+ - ml_elf_cycle
+ - ml_elf_dfp
+ - ml_elfi
+ - ml_elfs
+ - ml_enr0i
+ - ml_enrr0
+ - ml_erdu0
+ - ml_erdu0_bfgs
+ - ml_erdu0_bhhh
+ - ml_erdu0_bhhhq
+ - ml_erdu0_cycle
+ - ml_erdu0_dfp
+ - ml_erdu0_nrbfgs
+ - ml_exde
+ - ml_footnote
+ - ml_geqnr
+ - ml_grad0
+ - ml_graph
+ - ml_hbhhh
+ - ml_hd0
+ - ml_hold
+ - ml_init
+ - ml_inv
+ - ml_log
+ - ml_max
+ - ml_mlout
+ - ml_model
+ - ml_nb0
+ - ml_opt
+ - ml_p
+ - ml_plot
+ - ml_query
+ - ml_rdgrd
+ - ml_repor
+ - ml_s_e
+ - ml_score
+ - ml_searc
+ - ml_technique
+ - ml_unhold
+ - mlf_
+ - mlog
+ - mlogi
+ - mlogit
+ - mlogit_footnote
+ - mlogit_p
+ - mlopts
+ - mnl0_
+ - mprobit
+ - mprobit_lf
+ - mprobit_p
+ - mrdu0_
+ - mrdu1_
+ - mvdecode
+ - mvencode
+ - mvreg
+ - mvreg_estat
+ - nbreg
+ - nbreg_al
+ - nbreg_lf
+ - nbreg_p
+ - nbreg_sw
+ - newey
+ - newey_7
+ - newey_p
+ - nl
+ - nl_7
+ - nl_p
+ - nl_p_7
+ - nlcom
+ - nlcom_p
+ - nlexp2
+ - nlexp2_7
+ - nlexp2a
+ - nlexp2a_7
+ - nlexp3
+ - nlexp3_7
+ - nlgom3
+ - nlgom3_7
+ - nlgom4
+ - nlgom4_7
+ - nlinit
+ - nllog3
+ - nllog3_7
+ - nllog4
+ - nllog4_7
+ - nlog_rd
+ - nlogit
+ - nlogit_p
+ - nlogitgen
+ - nlogittree
+ - nlpred
+ - note
+ - notes
+ - nptrend
+ - numlabel
+ - old_ver
+ - olo
+ - olog
+ - ologi
+ - ologi_sw
+ - ologit
+ - ologit_p
+ - ologitp
+ - op_colnm
+ - op_comp
+ - op_diff
+ - op_inv
+ - op_str
+ - opr
+ - opro
+ - oprob
+ - oprob_sw
+ - oprobi
+ - oprobi_p
+ - oprobit
+ - oprobitp
+ - opts_exclusive
+ - orthog
+ - orthpoly
+ - ovtest
- - pac
- - pac_7
- - palette
- - parse_dissim
- - pause
- - pca
- - pca_8
- - pca_display
- - pca_estat
- - pca_p
- - pca_rotate
- - pcamat
- - pchart
- - pchart_7
- - pchi
- - pchi_7
- - pcorr
- - pctile
- - pentium
- - pergram
- - pergram_7
- - permute
- - permute_8
- - personal
- - peto_st
- - pkcollapse
- - pkcross
- - pkequiv
- - pkexamine
- - pkexamine_7
- - pkshape
- - pksumm
- - pksumm_7
- - pnorm
- - pnorm_7
- - poisgof
- - poiss_lf
- - poiss_sw
- - poisso_p
- - poisson
- - poisson_estat
- - pperron
- - prais
- - prais_e
- - prais_e2
- - prais_p
- - predict
- - predictnl
- - print
- - prob
- - probi
- - probit
- - probit_estat
- - probit_p
- - proc_time
- - procoverlay
- - procrustes
- - procrustes_estat
- - procrustes_p
- - profiler
- - prop
- - proportion
- - prtest
- - prtesti
- - pwcorr
- - qby
- - qbys
- - qchi
- - qchi_7
- - qladder
- - qladder_7
- - qnorm
- - qnorm_7
- - qqplot
- - qqplot_7
- - qreg
- - qreg_c
- - qreg_p
- - qreg_sw
- - quadchk
- - quantile
- - quantile_7
- - range
- - ranksum
- - ratio
- - rchart
- - rchart_7
- - rcof
- - recast
- - recode
- - reg
- - reg3
- - reg3_p
- - regdw
- - regr
- - regre
- - regre_p2
- - regres
- - regres_p
- - regress
- - regress_estat
- - regriv_p
- - remap
- - renpfix
- - repeat
- - reshape
- - robvar
- - roccomp
- - roccomp_7
- - roccomp_8
- - rocf_lf
- - rocfit
- - rocfit_8
- - rocgold
- - rocplot
- - rocplot_7
- - roctab
- - roctab_7
- - rolling
- - rologit
- - rologit_p
- - rot
- - rota
- - rotat
- - rotate
- - rotatemat
- - rreg
- - rreg_p
- - runtest
- - rvfplot
- - rvfplot_7
- - rvpplot
- - rvpplot_7
+ - pac
+ - pac_7
+ - palette
+ - parse_dissim
+ - pause
+ - pca
+ - pca_8
+ - pca_display
+ - pca_estat
+ - pca_p
+ - pca_rotate
+ - pcamat
+ - pchart
+ - pchart_7
+ - pchi
+ - pchi_7
+ - pcorr
+ - pctile
+ - pentium
+ - pergram
+ - pergram_7
+ - permute
+ - permute_8
+ - personal
+ - peto_st
+ - pkcollapse
+ - pkcross
+ - pkequiv
+ - pkexamine
+ - pkexamine_7
+ - pkshape
+ - pksumm
+ - pksumm_7
+ - pnorm
+ - pnorm_7
+ - poisgof
+ - poiss_lf
+ - poiss_sw
+ - poisso_p
+ - poisson
+ - poisson_estat
+ - pperron
+ - prais
+ - prais_e
+ - prais_e2
+ - prais_p
+ - predict
+ - predictnl
+ - print
+ - prob
+ - probi
+ - probit
+ - probit_estat
+ - probit_p
+ - proc_time
+ - procoverlay
+ - procrustes
+ - procrustes_estat
+ - procrustes_p
+ - profiler
+ - prop
+ - proportion
+ - prtest
+ - prtesti
+ - pwcorr
+ - qby
+ - qbys
+ - qchi
+ - qchi_7
+ - qladder
+ - qladder_7
+ - qnorm
+ - qnorm_7
+ - qqplot
+ - qqplot_7
+ - qreg
+ - qreg_c
+ - qreg_p
+ - qreg_sw
+ - quadchk
+ - quantile
+ - quantile_7
+ - range
+ - ranksum
+ - ratio
+ - rchart
+ - rchart_7
+ - rcof
+ - recast
+ - recode
+ - reg
+ - reg3
+ - reg3_p
+ - regdw
+ - regr
+ - regre
+ - regre_p2
+ - regres
+ - regres_p
+ - regress
+ - regress_estat
+ - regriv_p
+ - remap
+ - renpfix
+ - repeat
+ - reshape
+ - robvar
+ - roccomp
+ - roccomp_7
+ - roccomp_8
+ - rocf_lf
+ - rocfit
+ - rocfit_8
+ - rocgold
+ - rocplot
+ - rocplot_7
+ - roctab
+ - roctab_7
+ - rolling
+ - rologit
+ - rologit_p
+ - rot
+ - rota
+ - rotat
+ - rotate
+ - rotatemat
+ - rreg
+ - rreg_p
+ - runtest
+ - rvfplot
+ - rvfplot_7
+ - rvpplot
+ - rvpplot_7
- - safesum
- - sample
- - sampsi
- - savedresults
- - saveold
- - sc
- - scatter
- - scm_mine
- - sco
- - scob_lf
- - scob_p
- - scobi_sw
- - scobit
- - scor
- - score
- - scoreplot
- - scoreplot_help
- - scree
- - screeplot
- - screeplot_help
- - sdtest
- - sdtesti
- - separate
- - seperate
- - serrbar
- - serrbar_7
- - set_defaults
- - sfrancia
- - shewhart
- - shewhart_7
- - signrank
- - signtest
- - simul
- - simul_7
- - simulate
- - simulate_8
- - sktest
- - slogit
- - slogit_d2
- - slogit_p
- - smooth
- - snapspan
- - spearman
- - spikeplot
- - spikeplot_7
- - spikeplt
- - spline_x
- - split
- - sqreg
- - sqreg_p
- - ssc
- - st
- - st_ct
- - st_hc
- - st_hcd
- - st_hcd_sh
- - st_is
- - st_issys
- - st_note
- - st_promo
- - st_set
- - st_show
- - st_smpl
- - st_subid
- - stack
- - statsby
- - statsby_8
- - stbase
- - stci
- - stci_7
- - stcox
- - stcox_estat
- - stcox_fr
- - stcox_fr_ll
- - stcox_p
- - stcox_sw
- - stcoxkm
- - stcoxkm_7
- - stcstat
- - stcurv
- - stcurve
- - stcurve_7
- - stdes
- - stem
- - stepwise
- - stereg
- - stfill
- - stgen
- - stir
- - stjoin
- - stmc
- - stmh
- - stphplot
- - stphplot_7
- - stphtest
- - stphtest_7
- - stptime
- - strate
- - strate_7
- - streg
- - streg_sw
- - streset
- - sts
- - sts_7
- - stset
- - stsplit
- - stsum
- - sttocc
- - sttoct
- - stvary
- - stweib
- - suest
- - suest_8
- - sunflower
- - sureg
- - survcurv
- - survsum
- - svar
- - svar_p
- - svmat
- - svy
- - svy_disp
- - svy_dreg
- - svy_est
- - svy_est_7
- - svy_estat
- - svy_get
- - svy_gnbreg_p
- - svy_head
- - svy_header
- - svy_heckman_p
- - svy_heckprob_p
- - svy_intreg_p
- - svy_ivreg_p
- - svy_logistic_p
- - svy_logit_p
- - svy_mlogit_p
- - svy_nbreg_p
- - svy_ologit_p
- - svy_oprobit_p
- - svy_poisson_p
- - svy_probit_p
- - svy_regress_p
- - svy_sub
- - svy_sub_7
- - svy_x
- - svy_x_7
- - svy_x_p
- - svydes
- - svydes_8
- - svygen
- - svygnbreg
- - svyheckman
- - svyheckprob
- - svyintreg
- - svyintreg_7
- - svyintrg
- - svyivreg
- - svylc
- - svylog_p
- - svylogit
- - svymarkout
- - svymarkout_8
- - svymean
- - svymlog
- - svymlogit
- - svynbreg
- - svyolog
- - svyologit
- - svyoprob
- - svyoprobit
- - svyopts
- - svypois
- - svypois_7
- - svypoisson
- - svyprobit
- - svyprobt
- - svyprop
- - svyprop_7
- - svyratio
- - svyreg
- - svyreg_p
- - svyregress
- - svyset
- - svyset_7
- - svyset_8
- - svytab
- - svytab_7
- - svytest
- - svytotal
- - sw
- - sw_8
- - swcnreg
- - swcox
- - swereg
- - swilk
- - swlogis
- - swlogit
- - swologit
- - swoprbt
- - swpois
- - swprobit
- - swqreg
- - swtobit
- - swweib
- - symmetry
- - symmi
- - symplot
- - symplot_7
- - sysdescribe
- - sysuse
- - szroeter
- - tab_or
- - tab1
- - tab2
- - tabi
- - table
- - tabodds
- - tabodds_7
- - tabstat
- - te
- - tes
- - test
- - testnl
- - testparm
- - teststd
- - tetrachoric
- - time_it
- - tis
- - tob
- - tobi
- - tobit
- - tobit_p
- - tobit_sw
- - tostring
- - total
- - treat_ll
- - treatr_p
- - treatreg
- - trim
- - trnb_cons
- - trnb_mean
- - trpoiss_d2
- - trunc_ll
- - truncr_p
- - truncreg
- - tsappend
- - tset
- - tsfill
- - tsline
- - tsline_ex
- - tsreport
- - tsrline
- - tsset
- - tssmooth
- - tsunab
- - ttest
- - ttesti
- - tut_chk
- - tut_wait
- - tutorial
- - tw
- - tware_st
- - two
- - twoway
- - twoway__fpfit_serset
- - twoway__function_gen
- - twoway__histogram_gen
- - twoway__ipoint_serset
- - twoway__ipoints_serset
- - twoway__kdensity_gen
- - twoway__lfit_serset
- - twoway__normgen_gen
- - twoway__pci_serset
- - twoway__qfit_serset
- - twoway__scatteri_serset
- - twoway__sunflower_gen
- - twoway_ksm_serset
- - typeof
- - unab
- - unabbrev
- - uselabel
+ - safesum
+ - sample
+ - sampsi
+ - savedresults
+ - saveold
+ - sc
+ - scatter
+ - scm_mine
+ - sco
+ - scob_lf
+ - scob_p
+ - scobi_sw
+ - scobit
+ - scor
+ - score
+ - scoreplot
+ - scoreplot_help
+ - scree
+ - screeplot
+ - screeplot_help
+ - sdtest
+ - sdtesti
+ - separate
+ - seperate
+ - serrbar
+ - serrbar_7
+ - set_defaults
+ - sfrancia
+ - shewhart
+ - shewhart_7
+ - signrank
+ - signtest
+ - simul
+ - simul_7
+ - simulate
+ - simulate_8
+ - sktest
+ - slogit
+ - slogit_d2
+ - slogit_p
+ - smooth
+ - snapspan
+ - spearman
+ - spikeplot
+ - spikeplot_7
+ - spikeplt
+ - spline_x
+ - split
+ - sqreg
+ - sqreg_p
+ - ssc
+ - st
+ - st_ct
+ - st_hc
+ - st_hcd
+ - st_hcd_sh
+ - st_is
+ - st_issys
+ - st_note
+ - st_promo
+ - st_set
+ - st_show
+ - st_smpl
+ - st_subid
+ - stack
+ - statsby
+ - statsby_8
+ - stbase
+ - stci
+ - stci_7
+ - stcox
+ - stcox_estat
+ - stcox_fr
+ - stcox_fr_ll
+ - stcox_p
+ - stcox_sw
+ - stcoxkm
+ - stcoxkm_7
+ - stcstat
+ - stcurv
+ - stcurve
+ - stcurve_7
+ - stdes
+ - stem
+ - stepwise
+ - stereg
+ - stfill
+ - stgen
+ - stir
+ - stjoin
+ - stmc
+ - stmh
+ - stphplot
+ - stphplot_7
+ - stphtest
+ - stphtest_7
+ - stptime
+ - strate
+ - strate_7
+ - streg
+ - streg_sw
+ - streset
+ - sts
+ - sts_7
+ - stset
+ - stsplit
+ - stsum
+ - sttocc
+ - sttoct
+ - stvary
+ - stweib
+ - suest
+ - suest_8
+ - sunflower
+ - sureg
+ - survcurv
+ - survsum
+ - svar
+ - svar_p
+ - svmat
+ - svy
+ - svy_disp
+ - svy_dreg
+ - svy_est
+ - svy_est_7
+ - svy_estat
+ - svy_get
+ - svy_gnbreg_p
+ - svy_head
+ - svy_header
+ - svy_heckman_p
+ - svy_heckprob_p
+ - svy_intreg_p
+ - svy_ivreg_p
+ - svy_logistic_p
+ - svy_logit_p
+ - svy_mlogit_p
+ - svy_nbreg_p
+ - svy_ologit_p
+ - svy_oprobit_p
+ - svy_poisson_p
+ - svy_probit_p
+ - svy_regress_p
+ - svy_sub
+ - svy_sub_7
+ - svy_x
+ - svy_x_7
+ - svy_x_p
+ - svydes
+ - svydes_8
+ - svygen
+ - svygnbreg
+ - svyheckman
+ - svyheckprob
+ - svyintreg
+ - svyintreg_7
+ - svyintrg
+ - svyivreg
+ - svylc
+ - svylog_p
+ - svylogit
+ - svymarkout
+ - svymarkout_8
+ - svymean
+ - svymlog
+ - svymlogit
+ - svynbreg
+ - svyolog
+ - svyologit
+ - svyoprob
+ - svyoprobit
+ - svyopts
+ - svypois
+ - svypois_7
+ - svypoisson
+ - svyprobit
+ - svyprobt
+ - svyprop
+ - svyprop_7
+ - svyratio
+ - svyreg
+ - svyreg_p
+ - svyregress
+ - svyset
+ - svyset_7
+ - svyset_8
+ - svytab
+ - svytab_7
+ - svytest
+ - svytotal
+ - sw
+ - sw_8
+ - swcnreg
+ - swcox
+ - swereg
+ - swilk
+ - swlogis
+ - swlogit
+ - swologit
+ - swoprbt
+ - swpois
+ - swprobit
+ - swqreg
+ - swtobit
+ - swweib
+ - symmetry
+ - symmi
+ - symplot
+ - symplot_7
+ - sysdescribe
+ - sysuse
+ - szroeter
+ - tab_or
+ - tab1
+ - tab2
+ - tabi
+ - table
+ - tabodds
+ - tabodds_7
+ - tabstat
+ - te
+ - tes
+ - test
+ - testnl
+ - testparm
+ - teststd
+ - tetrachoric
+ - time_it
+ - tis
+ - tob
+ - tobi
+ - tobit
+ - tobit_p
+ - tobit_sw
+ - tostring
+ - total
+ - treat_ll
+ - treatr_p
+ - treatreg
+ - trim
+ - trnb_cons
+ - trnb_mean
+ - trpoiss_d2
+ - trunc_ll
+ - truncr_p
+ - truncreg
+ - tsappend
+ - tset
+ - tsfill
+ - tsline
+ - tsline_ex
+ - tsreport
+ - tsrline
+ - tsset
+ - tssmooth
+ - tsunab
+ - ttest
+ - ttesti
+ - tut_chk
+ - tut_wait
+ - tutorial
+ - tw
+ - tware_st
+ - two
+ - twoway
+ - twoway__fpfit_serset
+ - twoway__function_gen
+ - twoway__histogram_gen
+ - twoway__ipoint_serset
+ - twoway__ipoints_serset
+ - twoway__kdensity_gen
+ - twoway__lfit_serset
+ - twoway__normgen_gen
+ - twoway__pci_serset
+ - twoway__qfit_serset
+ - twoway__scatteri_serset
+ - twoway__sunflower_gen
+ - twoway_ksm_serset
+ - typeof
+ - unab
+ - unabbrev
+ - uselabel
- - var
- - var_mkcompanion
- - var_p
- - varbasic
- - varfcast
- - vargranger
- - varirf
- - varirf_add
- - varirf_cgraph
- - varirf_create
- - varirf_ctable
- - varirf_describe
- - varirf_dir
- - varirf_drop
- - varirf_erase
- - varirf_graph
- - varirf_ograph
- - varirf_rename
- - varirf_set
- - varirf_table
- - varlmar
- - varnorm
- - varsoc
- - varstable
- - varstable_w
- - varstable_w2
- - varwle
- - vce
- - vec
- - vec_fevd
- - vec_mkphi
- - vec_p
- - vec_p_w
- - vecirf_create
- - veclmar
- - veclmar_w
- - vecnorm
- - vecnorm_w
- - vecrank
- - vecstable
- - verinst
- - viewsource
- - vif
- - vwls
- - wdatetof
- - webdescribe
- - webseek
- - webuse
- - weib_lf
- - weib_lf0
- - weib1_lf
- - weib2_lf
- - weibhet_glf
- - weibhet_glf_sh
- - weibhet_glfa
- - weibhet_glfa_sh
- - weibhet_gp
- - weibhet_ilf
- - weibhet_ilf_sh
- - weibhet_ilfa
- - weibhet_ilfa_sh
- - weibhet_ip
- - weibu_sw
- - weibul_p
- - weibull
- - weibull_c
- - weibull_s
- - weibullhet
- - whelp
- - wilc_st
- - wilcoxon
- - wntestb
- - wntestb_7
- - wntestq
- - xchart
- - xchart_7
- - xcorr
- - xcorr_7
- - xi
- - xi_6
- - xpose
- - xt_iis
- - xt_tis
- - xtab_p
- - xtabond
- - xtbin_p
- - xtclog
- - xtcloglog
- - xtcloglog_8
- - xtcloglog_d2
- - xtcloglog_re_p
- - xtcnt_p
- - xtcorr
- - xtdata
- - xtdes
- - xtfront_p
- - xtfrontier
- - xtgee
- - xtgee_elink
- - xtgee_estat
- - xtgee_makeivar
- - xtgee_p
- - xtgee_plink
- - xtgls
- - xtgls_p
- - xthaus
- - xthausman
- - xtht_p
- - xthtaylor
- - xtile
- - xtint_p
- - xtintreg
- - xtintreg_8
- - xtintreg_d2
- - xtintreg_p
- - xtivp_1
- - xtivp_2
- - xtivreg
- - xtline
- - xtline_ex
- - xtlogit
- - xtlogit_8
- - xtlogit_d2
- - xtlogit_fe_p
- - xtlogit_pa_p
- - xtlogit_re_p
- - xtmixed
- - xtmixed_estat
- - xtmixed_p
- - xtnb_fe
- - xtnb_lf
- - xtnbreg
- - xtnbreg_pa_p
- - xtnbreg_refe_p
- - xtpcse
- - xtpcse_p
- - xtpois
- - xtpoisson
- - xtpoisson_d2
- - xtpoisson_pa_p
- - xtpoisson_refe_p
- - xtpred
- - xtprobit
- - xtprobit_8
- - xtprobit_d2
- - xtprobit_re_p
- - xtps_fe
- - xtps_lf
- - xtps_ren
- - xtps_ren_8
- - xtrar_p
- - xtrc
- - xtrc_p
- - xtrchh
- - xtrefe_p
- - xtreg
- - xtreg_be
- - xtreg_fe
- - xtreg_ml
- - xtreg_pa_p
- - xtreg_re
- - xtregar
- - xtrere_p
- - xtsf_ll
- - xtsf_llti
- - xtsum
- - xttab
- - xttest0
- - xttobit
- - xttobit_8
- - xttobit_p
- - xttrans
- - yx
- - yxview__barlike_draw
- - yxview_area_draw
- - yxview_bar_draw
- - yxview_dot_draw
- - yxview_dropline_draw
- - yxview_function_draw
- - yxview_iarrow_draw
- - yxview_ilabels_draw
- - yxview_normal_draw
- - yxview_pcarrow_draw
- - yxview_pcbarrow_draw
- - yxview_pccapsym_draw
- - yxview_pcscatter_draw
- - yxview_pcspike_draw
- - yxview_rarea_draw
- - yxview_rbar_draw
- - yxview_rbarm_draw
- - yxview_rcap_draw
- - yxview_rcapsym_draw
- - yxview_rconnected_draw
- - yxview_rline_draw
- - yxview_rscatter_draw
- - yxview_rspike_draw
- - yxview_spike_draw
- - yxview_sunflower_draw
- - zap_s
- - zinb
- - zinb_llf
- - zinb_plf
- - zip
- - zip_llf
- - zip_p
- - zip_plf
- - zt_ct_5
- - zt_hc_5
- - zt_hcd_5
- - zt_is_5
- - zt_iss_5
- - zt_sho_5
- - zt_smp_5
- - ztbase_5
- - ztcox_5
- - ztdes_5
- - ztereg_5
- - ztfill_5
- - ztgen_5
- - ztir_5
- - ztjoin_5
- - ztnb
- - ztnb_p
- - ztp
- - ztp_p
- - zts_5
- - ztset_5
- - ztspli_5
- - ztsum_5
- - zttoct_5
- - ztvary_5
- - ztweib_5
+ - var
+ - var_mkcompanion
+ - var_p
+ - varbasic
+ - varfcast
+ - vargranger
+ - varirf
+ - varirf_add
+ - varirf_cgraph
+ - varirf_create
+ - varirf_ctable
+ - varirf_describe
+ - varirf_dir
+ - varirf_drop
+ - varirf_erase
+ - varirf_graph
+ - varirf_ograph
+ - varirf_rename
+ - varirf_set
+ - varirf_table
+ - varlmar
+ - varnorm
+ - varsoc
+ - varstable
+ - varstable_w
+ - varstable_w2
+ - varwle
+ - vce
+ - vec
+ - vec_fevd
+ - vec_mkphi
+ - vec_p
+ - vec_p_w
+ - vecirf_create
+ - veclmar
+ - veclmar_w
+ - vecnorm
+ - vecnorm_w
+ - vecrank
+ - vecstable
+ - verinst
+ - viewsource
+ - vif
+ - vwls
+ - wdatetof
+ - webdescribe
+ - webseek
+ - webuse
+ - weib_lf
+ - weib_lf0
+ - weib1_lf
+ - weib2_lf
+ - weibhet_glf
+ - weibhet_glf_sh
+ - weibhet_glfa
+ - weibhet_glfa_sh
+ - weibhet_gp
+ - weibhet_ilf
+ - weibhet_ilf_sh
+ - weibhet_ilfa
+ - weibhet_ilfa_sh
+ - weibhet_ip
+ - weibu_sw
+ - weibul_p
+ - weibull
+ - weibull_c
+ - weibull_s
+ - weibullhet
+ - whelp
+ - wilc_st
+ - wilcoxon
+ - wntestb
+ - wntestb_7
+ - wntestq
+ - xchart
+ - xchart_7
+ - xcorr
+ - xcorr_7
+ - xi
+ - xi_6
+ - xpose
+ - xt_iis
+ - xt_tis
+ - xtab_p
+ - xtabond
+ - xtbin_p
+ - xtclog
+ - xtcloglog
+ - xtcloglog_8
+ - xtcloglog_d2
+ - xtcloglog_re_p
+ - xtcnt_p
+ - xtcorr
+ - xtdata
+ - xtdes
+ - xtfront_p
+ - xtfrontier
+ - xtgee
+ - xtgee_elink
+ - xtgee_estat
+ - xtgee_makeivar
+ - xtgee_p
+ - xtgee_plink
+ - xtgls
+ - xtgls_p
+ - xthaus
+ - xthausman
+ - xtht_p
+ - xthtaylor
+ - xtile
+ - xtint_p
+ - xtintreg
+ - xtintreg_8
+ - xtintreg_d2
+ - xtintreg_p
+ - xtivp_1
+ - xtivp_2
+ - xtivreg
+ - xtline
+ - xtline_ex
+ - xtlogit
+ - xtlogit_8
+ - xtlogit_d2
+ - xtlogit_fe_p
+ - xtlogit_pa_p
+ - xtlogit_re_p
+ - xtmixed
+ - xtmixed_estat
+ - xtmixed_p
+ - xtnb_fe
+ - xtnb_lf
+ - xtnbreg
+ - xtnbreg_pa_p
+ - xtnbreg_refe_p
+ - xtpcse
+ - xtpcse_p
+ - xtpois
+ - xtpoisson
+ - xtpoisson_d2
+ - xtpoisson_pa_p
+ - xtpoisson_refe_p
+ - xtpred
+ - xtprobit
+ - xtprobit_8
+ - xtprobit_d2
+ - xtprobit_re_p
+ - xtps_fe
+ - xtps_lf
+ - xtps_ren
+ - xtps_ren_8
+ - xtrar_p
+ - xtrc
+ - xtrc_p
+ - xtrchh
+ - xtrefe_p
+ - xtreg
+ - xtreg_be
+ - xtreg_fe
+ - xtreg_ml
+ - xtreg_pa_p
+ - xtreg_re
+ - xtregar
+ - xtrere_p
+ - xtsf_ll
+ - xtsf_llti
+ - xtsum
+ - xttab
+ - xttest0
+ - xttobit
+ - xttobit_8
+ - xttobit_p
+ - xttrans
+ - yx
+ - yxview__barlike_draw
+ - yxview_area_draw
+ - yxview_bar_draw
+ - yxview_dot_draw
+ - yxview_dropline_draw
+ - yxview_function_draw
+ - yxview_iarrow_draw
+ - yxview_ilabels_draw
+ - yxview_normal_draw
+ - yxview_pcarrow_draw
+ - yxview_pcbarrow_draw
+ - yxview_pccapsym_draw
+ - yxview_pcscatter_draw
+ - yxview_pcspike_draw
+ - yxview_rarea_draw
+ - yxview_rbar_draw
+ - yxview_rbarm_draw
+ - yxview_rcap_draw
+ - yxview_rcapsym_draw
+ - yxview_rconnected_draw
+ - yxview_rline_draw
+ - yxview_rscatter_draw
+ - yxview_rspike_draw
+ - yxview_spike_draw
+ - yxview_sunflower_draw
+ - zap_s
+ - zinb
+ - zinb_llf
+ - zinb_plf
+ - zip
+ - zip_llf
+ - zip_p
+ - zip_plf
+ - zt_ct_5
+ - zt_hc_5
+ - zt_hcd_5
+ - zt_is_5
+ - zt_iss_5
+ - zt_sho_5
+ - zt_smp_5
+ - ztbase_5
+ - ztcox_5
+ - ztdes_5
+ - ztereg_5
+ - ztfill_5
+ - ztgen_5
+ - ztir_5
+ - ztjoin_5
+ - ztnb
+ - ztnb_p
+ - ztp
+ - ztp_p
+ - zts_5
+ - ztset_5
+ - ztspli_5
+ - ztsum_5
+ - zttoct_5
+ - ztvary_5
+ - ztweib_5
- - _cholinv
- - _cholsolve
- - _corr
- - _edittoint
- - _edittointtol
- - _edittozero
- - _edittozerotol
- - _eigen_work
- - _eigensystem
- - _eigenvalues
- - _equilc
- - _equilr
- - _equilrc
- - _ftell
- - _fullsvd
- - _hqrd
- - _hqrdp
- - _invlower
- - _jumble
- - _lefteigensystem
- - _lowertriangle
- - _lud
- - _lud_la
- - _luinv
- - _lusolve
- - _matexpsym
- - _matlogsym
- - _matpowersym
- - _mprobit_outer_prod
- - _mprobit_quadrature
- - _mprobit_quadrature_eval
- - _mprobit_quadrature_m
- - _mprobit_select
- - _mprobit_simulator
- - _mprobit_simulator_case_g
- - _mprobit_simulator_lk
- - _mprobit_simulator_m
- - _mprobit_simulator_mi
- - _mprobit_validate_choice
- - _mprobit_weights_roots_laguerre
- - _perhapsequilc
- - _perhapsequilr
- - _perhapsequilrc
- - _pinv
- - _qrinv
- - _qrsolve
- - _rowswap
- - _solvelower
- - _solveupper
- - _sort
- - _svd
- - _svdsv
- - _svsolve
- - _svy_design
- - _svy_group_sum
- - _svy_identify
- - _svy_mean
- - _svy_mean_post
- - _svy_mean_std
- - _svy_mean_stdpost
- - _svy_over_expand
- - _svy_over_sizes
- - _svy_post_sizes
- - _svy_ratio
- - _svy_ratio_post
- - _svy_ratio_std
- - _svy_ratio_stdpost
- - _svy_srs_variance
- - _svy_srssub_variance
- - _svy_std_sizes
- - _svy_total
- - _svy_total_post
- - _svy_variance
- - _symeigen_work
- - _symeigensystem
- - _symeigenvalues
- - _symmatfunc_work
- - _uppertriangle
- - _xtm_beta
- - _xtm_blup
- - _xtm_blup_save
- - _xtm_blup_save_u
- - _xtm_blup_u
- - _xtm_cleanup
- - _xtm_covtype
- - _xtm_de_th_u
- - _xtm_delta_to_theta
- - _xtm_det_upper
- - _xtm_em_get_rij
- - _xtm_em_get_uij
- - _xtm_em_iter
- - _xtm_em_iter_u
- - _xtm_em_solve
- - _xtm_fact_expand
- - _xtm_ga_th_u
- - _xtm_gamma_to_theta
- - _xtm_get_delta
- - _xtm_get_delta_u
- - _xtm_invert_R
- - _xtm_logdetr00
- - _xtm_logdetr00_u
- - _xtm_matexp
- - _xtm_matlog
- - _xtm_mixed_ll
- - _xtm_mixed_ll_u
- - _xtm_mixed_ll_uu
- - _xtm_mk_eqs
- - _xtm_ml_eqlist
- - _xtm_ml_eqlist_wrk
- - _xtm_setup
- - _xtm_start
- - _xtm_start_collapse
- - _xtm_start_u
- - _xtm_th_de_u
- - _xtm_th_ga_u
- - _xtm_theta_to_delta
- - _xtm_theta_to_gamma
- - acos
- - acosh
- - aggregate
- - array
- - asin
- - asinh
- - assert
- - asserteq
- - atan
- - atanh
- - blockdiag
- - boolean
- - break
- - byte
- - case
- - cat
- - catch
- - chdir
- - cholesky
- - cholinv
- - cholsolve
- - class
- - cloglog
- - collate
- - colmax
- - colmin
- - colscalefactors
- - colshape
- - colvector
- - complex
- - cond
- - const
- - continue
- - convolve
- - convolveslowly
- - Corr
- - correlation
- - Corrslowly
- - corruppercase
- - cosh
- - deconvolve
- - default
- - delegate
- - delete
- - designmatrix
- - det
- - dettriangular
- - diag
- - diag0cnt
- - do
- - double
- - dsign
- - e
- - editmissing
- - edittoint
- - edittointtol
- - edittozero
- - edittozerotol
- - editvalue
- - eigensystem
- - eigenvalues
- - else
- - eltypedef
- - end
- - enum
- - explicit
- - export
- - external
- - fft
- - fileexists
- - findfile
- - float
- - for
- - friend
- - ftell
- - ftfreqs
- - ftpad
- - ftperiodogram
- - ftretime
- - ftunwrap
- - ftwrap
- - fullsdiag
- - fullsvd
- - function
- - gamma
- - global
- - goto
- - helloworld
- - Hilbert
- - hqrd
- - hqrdmultq
- - hqrdmultq1t
- - hqrdp
- - hqrdq
- - hqrdq1
- - hqrdr
- - hqrdr1
- - if
- - inline
- - int
- - invcloglog
- - invfft
- - invHilbert
- - invlogit
- - invlower
- - invorder
- - invslowfft
- - invslowft
- - invvech
- - isdiagonal
- - jumble
- - lefteigensystem
- - local
- - log10
- - logit
- - long
- - lowertriangle
- - lud
- - luinv
- - lusolve
- - makesymmetric
- - mat_norm
- - mata
- - matexpsym
- - matlogsym
- - matpowersym
- - matrix
- - max
- - mean
- - meanvariance
- - min
- - mkdir
- - mmat_
- - mmat_describe
- - mmat_expandlist
- - mmat_readerror
- - mmat_save
- - mmat_use
- - mmat_writeerror
- - namespace
- - new
- - norm
- - NULL
- - numeric
- - operator
- - orgtypedef
- - panelsetup
- - panelsetup_u
- - panelstats
- - panelsubmatrix
- - panelsubview
- - pathlist
- - pathsubsysdir
- - pi
- - pinv
- - pointer
- - polyadd
- - polyadd_expand
- - polyderiv
- - polydiv
- - polyeval
- - polyinteg
- - polymorphic
- - polymult
- - polyroots
- - polysolve
- - polytrim
- - pragma
- - private
- - protected
- - public
- - qrd
- - qrdp
- - qrinv
- - qrsolve
- - quad
- - quadcorrelation
- - quadmeanvariance
- - quadrant
- - quadvariance
- - range
- - rangen
- - rank
- - rank_from_singular_values
- - real
- - return
- - revorder
- - rmdir
- - rowmax
- - rowmin
- - rowscalefactors
- - rowvector
- - scalar
- - scalar_norm
- - short
- - sign
- - signed
- - sinh
- - sizeof
- - slowfft
- - slowft
- - solve_tol
- - solve_tolscale
- - solvelower
- - solveupper
- - sort
- - spline3
- - spline3eval
- - splineeval
- - st_islmname
- - static
- - string
- - struct
- - super
- - svd
- - svdsv
- - svsolve
- - switch
- - symeigensystem
- - symeigenvalues
- - tanh
- - template
- - this
- - throw
- - Toeplitz
- - trace
- - trace_prod
- - transmorphic
- - transposeonly
- - try
- - typedef
- - typename
- - union
- - uniqrows
- - unitcircle
- - unorder
- - unsigned
- - uppertriangle
- - using
- - Vandermonde
- - variance
- - vec
- - vec_norm
- - vech
- - vector
- - version
- - virtual
- - void
- - volatile
- - while
+ - _cholinv
+ - _cholsolve
+ - _corr
+ - _edittoint
+ - _edittointtol
+ - _edittozero
+ - _edittozerotol
+ - _eigen_work
+ - _eigensystem
+ - _eigenvalues
+ - _equilc
+ - _equilr
+ - _equilrc
+ - _ftell
+ - _fullsvd
+ - _hqrd
+ - _hqrdp
+ - _invlower
+ - _jumble
+ - _lefteigensystem
+ - _lowertriangle
+ - _lud
+ - _lud_la
+ - _luinv
+ - _lusolve
+ - _matexpsym
+ - _matlogsym
+ - _matpowersym
+ - _mprobit_outer_prod
+ - _mprobit_quadrature
+ - _mprobit_quadrature_eval
+ - _mprobit_quadrature_m
+ - _mprobit_select
+ - _mprobit_simulator
+ - _mprobit_simulator_case_g
+ - _mprobit_simulator_lk
+ - _mprobit_simulator_m
+ - _mprobit_simulator_mi
+ - _mprobit_validate_choice
+ - _mprobit_weights_roots_laguerre
+ - _perhapsequilc
+ - _perhapsequilr
+ - _perhapsequilrc
+ - _pinv
+ - _qrinv
+ - _qrsolve
+ - _rowswap
+ - _solvelower
+ - _solveupper
+ - _sort
+ - _svd
+ - _svdsv
+ - _svsolve
+ - _svy_design
+ - _svy_group_sum
+ - _svy_identify
+ - _svy_mean
+ - _svy_mean_post
+ - _svy_mean_std
+ - _svy_mean_stdpost
+ - _svy_over_expand
+ - _svy_over_sizes
+ - _svy_post_sizes
+ - _svy_ratio
+ - _svy_ratio_post
+ - _svy_ratio_std
+ - _svy_ratio_stdpost
+ - _svy_srs_variance
+ - _svy_srssub_variance
+ - _svy_std_sizes
+ - _svy_total
+ - _svy_total_post
+ - _svy_variance
+ - _symeigen_work
+ - _symeigensystem
+ - _symeigenvalues
+ - _symmatfunc_work
+ - _uppertriangle
+ - _xtm_beta
+ - _xtm_blup
+ - _xtm_blup_save
+ - _xtm_blup_save_u
+ - _xtm_blup_u
+ - _xtm_cleanup
+ - _xtm_covtype
+ - _xtm_de_th_u
+ - _xtm_delta_to_theta
+ - _xtm_det_upper
+ - _xtm_em_get_rij
+ - _xtm_em_get_uij
+ - _xtm_em_iter
+ - _xtm_em_iter_u
+ - _xtm_em_solve
+ - _xtm_fact_expand
+ - _xtm_ga_th_u
+ - _xtm_gamma_to_theta
+ - _xtm_get_delta
+ - _xtm_get_delta_u
+ - _xtm_invert_R
+ - _xtm_logdetr00
+ - _xtm_logdetr00_u
+ - _xtm_matexp
+ - _xtm_matlog
+ - _xtm_mixed_ll
+ - _xtm_mixed_ll_u
+ - _xtm_mixed_ll_uu
+ - _xtm_mk_eqs
+ - _xtm_ml_eqlist
+ - _xtm_ml_eqlist_wrk
+ - _xtm_setup
+ - _xtm_start
+ - _xtm_start_collapse
+ - _xtm_start_u
+ - _xtm_th_de_u
+ - _xtm_th_ga_u
+ - _xtm_theta_to_delta
+ - _xtm_theta_to_gamma
+ - acos
+ - acosh
+ - aggregate
+ - array
+ - asin
+ - asinh
+ - assert
+ - asserteq
+ - atan
+ - atanh
+ - blockdiag
+ - boolean
+ - break
+ - byte
+ - case
+ - cat
+ - catch
+ - chdir
+ - cholesky
+ - cholinv
+ - cholsolve
+ - class
+ - cloglog
+ - collate
+ - colmax
+ - colmin
+ - colscalefactors
+ - colshape
+ - colvector
+ - complex
+ - cond
+ - const
+ - continue
+ - convolve
+ - convolveslowly
+ - Corr
+ - correlation
+ - Corrslowly
+ - corruppercase
+ - cosh
+ - deconvolve
+ - default
+ - delegate
+ - delete
+ - designmatrix
+ - det
+ - dettriangular
+ - diag
+ - diag0cnt
+ - do
+ - double
+ - dsign
+ - e
+ - editmissing
+ - edittoint
+ - edittointtol
+ - edittozero
+ - edittozerotol
+ - editvalue
+ - eigensystem
+ - eigenvalues
+ - else
+ - eltypedef
+ - end
+ - enum
+ - explicit
+ - export
+ - external
+ - fft
+ - fileexists
+ - findfile
+ - float
+ - for
+ - friend
+ - ftell
+ - ftfreqs
+ - ftpad
+ - ftperiodogram
+ - ftretime
+ - ftunwrap
+ - ftwrap
+ - fullsdiag
+ - fullsvd
+ - function
+ - gamma
+ - global
+ - goto
+ - helloworld
+ - Hilbert
+ - hqrd
+ - hqrdmultq
+ - hqrdmultq1t
+ - hqrdp
+ - hqrdq
+ - hqrdq1
+ - hqrdr
+ - hqrdr1
+ - if
+ - inline
+ - int
+ - invcloglog
+ - invfft
+ - invHilbert
+ - invlogit
+ - invlower
+ - invorder
+ - invslowfft
+ - invslowft
+ - invvech
+ - isdiagonal
+ - jumble
+ - lefteigensystem
+ - local
+ - log10
+ - logit
+ - long
+ - lowertriangle
+ - lud
+ - luinv
+ - lusolve
+ - makesymmetric
+ - mat_norm
+ - mata
+ - matexpsym
+ - matlogsym
+ - matpowersym
+ - matrix
+ - max
+ - mean
+ - meanvariance
+ - min
+ - mkdir
+ - mmat_
+ - mmat_describe
+ - mmat_expandlist
+ - mmat_readerror
+ - mmat_save
+ - mmat_use
+ - mmat_writeerror
+ - namespace
+ - new
+ - norm
+ - NULL
+ - numeric
+ - operator
+ - orgtypedef
+ - panelsetup
+ - panelsetup_u
+ - panelstats
+ - panelsubmatrix
+ - panelsubview
+ - pathlist
+ - pathsubsysdir
+ - pi
+ - pinv
+ - pointer
+ - polyadd
+ - polyadd_expand
+ - polyderiv
+ - polydiv
+ - polyeval
+ - polyinteg
+ - polymorphic
+ - polymult
+ - polyroots
+ - polysolve
+ - polytrim
+ - pragma
+ - private
+ - protected
+ - public
+ - qrd
+ - qrdp
+ - qrinv
+ - qrsolve
+ - quad
+ - quadcorrelation
+ - quadmeanvariance
+ - quadrant
+ - quadvariance
+ - range
+ - rangen
+ - rank
+ - rank_from_singular_values
+ - real
+ - return
+ - revorder
+ - rmdir
+ - rowmax
+ - rowmin
+ - rowscalefactors
+ - rowvector
+ - scalar
+ - scalar_norm
+ - short
+ - sign
+ - signed
+ - sinh
+ - sizeof
+ - slowfft
+ - slowft
+ - solve_tol
+ - solve_tolscale
+ - solvelower
+ - solveupper
+ - sort
+ - spline3
+ - spline3eval
+ - splineeval
+ - st_islmname
+ - static
+ - string
+ - struct
+ - super
+ - svd
+ - svdsv
+ - svsolve
+ - switch
+ - symeigensystem
+ - symeigenvalues
+ - tanh
+ - template
+ - this
+ - throw
+ - Toeplitz
+ - trace
+ - trace_prod
+ - transmorphic
+ - transposeonly
+ - try
+ - typedef
+ - typename
+ - union
+ - uniqrows
+ - unitcircle
+ - unorder
+ - unsigned
+ - uppertriangle
+ - using
+ - Vandermonde
+ - variance
+ - vec
+ - vec_norm
+ - vech
+ - vector
+ - version
+ - virtual
+ - void
+ - volatile
+ - while
@@ -3150,15 +3153,13 @@
-
+
-
-
-
+
@@ -3182,19 +3183,11 @@
-
-
-
-
-
-
-
-
@@ -3213,7 +3206,6 @@
-
diff --git a/kate/data/systemc.xml b/kate/data/systemc.xml
index 0b98937cb..eb43a8e3e 100644
--- a/kate/data/systemc.xml
+++ b/kate/data/systemc.xml
@@ -7,90 +7,90 @@
================================================================================
July 17th 2008 Initial version
-->
-
+
- - sensitive
+ - sensitive
- - dont_initialize
- - gen_unique_name
- - name
- - next_trigger
- - sc_assert_fail
- - sc_copyright
- - sc_cycle
- - sc_initialize
- - sc_simulation_time
- - sc_start
- - sc_stop
- - sc_get_default_time_unit
- - sc_get_default_time_resolution
- - sc_time_stamp
- - sc_version
- - timed_out
- - wait
- - sc_trace
- - sc_create_vcd_trace_file
- - sc_close_vcd_trace_file
+ - dont_initialize
+ - gen_unique_name
+ - name
+ - next_trigger
+ - sc_assert_fail
+ - sc_copyright
+ - sc_cycle
+ - sc_initialize
+ - sc_simulation_time
+ - sc_start
+ - sc_stop
+ - sc_get_default_time_unit
+ - sc_get_default_time_resolution
+ - sc_time_stamp
+ - sc_version
+ - timed_out
+ - wait
+ - sc_trace
+ - sc_create_vcd_trace_file
+ - sc_close_vcd_trace_file
- - SC_MODULE
- - SC_CTOR
- - SC_METHOD
- - SC_THREAD
+ - SC_MODULE
+ - SC_CTOR
+ - SC_METHOD
+ - SC_THREAD
- - sc_time_unit
- - sc_clock
- - sc_int
- - sc_uint
- - sc_bigint
- - sc_biguint
- - sc_logic
- - sc_lv
- - sc_bit
- - sc_bv
- - sc_fixed
- - sc_ufixed
- - sc_fixed_fast
- - sc_ufixed_fast
- - sc_fix
- - sc_ufix
- - sc_buffer
- - sc_fifo
- - sc_mutex
- - sc_semaphore
- - sc_signal
- - sc_signal_resolved
- - sc_signal_rv
- - sc_fifo_in_if
- - sc_fifo_out_if
- - sc_mutex_if
- - sc_semaphore_if
- - sc_signal_in_if
- - sc_signal_inout_if
- - sc_master
- - sc_inmaster
- - sc_outmaster
- - sc_inout_master
- - sc_indexed
- - sc_slave
- - sc_inslave
- - sc_outslave
- - sc_inoutslave
- - sc_in
- - sc_out
- - sc_inout
- - sc_noHandshake
- - sc_fullHandshake
- - sc_memfullHandshake
- - sc_enable_Handshake
- - sc_memenHandshake
- - sc_link_mp
- - sc_trace_file
+ - sc_time_unit
+ - sc_clock
+ - sc_int
+ - sc_uint
+ - sc_bigint
+ - sc_biguint
+ - sc_logic
+ - sc_lv
+ - sc_bit
+ - sc_bv
+ - sc_fixed
+ - sc_ufixed
+ - sc_fixed_fast
+ - sc_ufixed_fast
+ - sc_fix
+ - sc_ufix
+ - sc_buffer
+ - sc_fifo
+ - sc_mutex
+ - sc_semaphore
+ - sc_signal
+ - sc_signal_resolved
+ - sc_signal_rv
+ - sc_fifo_in_if
+ - sc_fifo_out_if
+ - sc_mutex_if
+ - sc_semaphore_if
+ - sc_signal_in_if
+ - sc_signal_inout_if
+ - sc_master
+ - sc_inmaster
+ - sc_outmaster
+ - sc_inout_master
+ - sc_indexed
+ - sc_slave
+ - sc_inslave
+ - sc_outslave
+ - sc_inoutslave
+ - sc_in
+ - sc_out
+ - sc_inout
+ - sc_noHandshake
+ - sc_fullHandshake
+ - sc_memfullHandshake
+ - sc_enable_Handshake
+ - sc_memenHandshake
+ - sc_link_mp
+ - sc_trace_file
@@ -113,7 +113,6 @@
-
diff --git a/kate/data/systemverilog.xml b/kate/data/systemverilog.xml
index 26c84db0b..b0e78aa1f 100644
--- a/kate/data/systemverilog.xml
+++ b/kate/data/systemverilog.xml
@@ -39,586 +39,586 @@
-
+
- - defparam
- - deassign
+ - defparam
+ - deassign
- - DPI
- - DPI-C
- - import
- - export
- - context
+ - DPI
+ - DPI-C
+ - import
+ - export
+ - context
- - assert
- - assume
- - cover
- - expect
- - disable
- - iff
- - binsof
- - intersect
- - first_match
- - throughout
- - within
+ - assert
+ - assume
+ - cover
+ - expect
+ - disable
+ - iff
+ - binsof
+ - intersect
+ - first_match
+ - throughout
+ - within
- - coverpoint
- - cross
- - wildcard
- - bins
- - ignore_bins
- - illegal_bins
+ - coverpoint
+ - cross
+ - wildcard
+ - bins
+ - ignore_bins
+ - illegal_bins
- - genvar
+ - genvar
- - if
- - else
- - unique
- - priority
- - matches
+ - if
+ - else
+ - unique
+ - priority
+ - matches
- - default
+ - default
- - forever
- - repeat
- - while
- - for
- - do
- - foreach
- - break
- - continue
- - return
+ - forever
+ - repeat
+ - while
+ - for
+ - do
+ - foreach
+ - break
+ - continue
+ - return
- - pulsestyle_onevent
- - pulsestyle_ondetect
- - noshowcancelled
- - showcancelled
- - ifnone
+ - pulsestyle_onevent
+ - pulsestyle_ondetect
+ - noshowcancelled
+ - showcancelled
+ - ifnone
- - initial
- - final
- - always
- - always_comb
- - always_ff
- - always_latch
+ - initial
+ - final
+ - always
+ - always_comb
+ - always_ff
+ - always_latch
- - alias
- - assign
- - force
- - release
+ - alias
+ - assign
+ - force
+ - release
- - posedge
- - negedge
- - edge
- - wait
- - wait_order
+ - posedge
+ - negedge
+ - edge
+ - wait
+ - wait_order
- - timeunit
- - timeprecision
- - s
- - ms
- - ns
- - us
- - ns
- - ps
- - fs
- - step
+ - timeunit
+ - timeprecision
+ - s
+ - ms
+ - ns
+ - us
+ - ns
+ - ps
+ - fs
+ - step
- - new
- - extends
- - this
- - super
- - protected
- - local
- - rand
- - randc
- - bind
+ - new
+ - extends
+ - this
+ - super
+ - protected
+ - local
+ - rand
+ - randc
+ - bind
- - constraint
- - solve
- - before
- - dist
- - inside
- - with
+ - constraint
+ - solve
+ - before
+ - dist
+ - inside
+ - with
- - virtual
- - pure
- - extern
- - forkjoin
+ - virtual
+ - pure
+ - extern
+ - forkjoin
- - design
- - instance
- - cell
- - liblist
- - use
+ - design
+ - instance
+ - cell
+ - liblist
+ - use
- - library
- - incdir
- - include
+ - library
+ - incdir
+ - include
- - modport
+ - modport
- - sync_accept_on
- - reject_on
- - accept_on
- - sync_reject_on
- - restrict
- - let
- - until
- - until_with
- - unique0
- - eventually
- - s_until
- - s_always
- - s_eventually
- - s_nexttime
- - s_until_with
- - global
- - untyped
- - implies
- - weak
- - strong
- - nexttime
+ - sync_accept_on
+ - reject_on
+ - accept_on
+ - sync_reject_on
+ - restrict
+ - let
+ - until
+ - until_with
+ - unique0
+ - eventually
+ - s_until
+ - s_always
+ - s_eventually
+ - s_nexttime
+ - s_until_with
+ - global
+ - untyped
+ - implies
+ - weak
+ - strong
+ - nexttime
- - parameter
- - localparam
- - specparam
+ - parameter
+ - localparam
+ - specparam
- - input
- - output
- - inout
- - ref
+ - input
+ - output
+ - inout
+ - ref
- - byte
- - shortint
- - int
- - integer
- - longint
- - time
+ - byte
+ - shortint
+ - int
+ - integer
+ - longint
+ - time
- - bit
- - logic
- - reg
+ - bit
+ - logic
+ - reg
- - supply0
- - supply1
- - tri
- - triand
- - trior
- - trireg
- - tri0
- - tri1
- - wire
- - uwire
- - wand
- - wor
+ - supply0
+ - supply1
+ - tri
+ - triand
+ - trior
+ - trireg
+ - tri0
+ - tri1
+ - wire
+ - uwire
+ - wand
+ - wor
- - signed
- - unsigned
+ - signed
+ - unsigned
- - shortreal
- - real
- - realtime
+ - shortreal
+ - real
+ - realtime
- - type
- - void
+ - type
+ - void
- - struct
- - union
- - tagged
+ - struct
+ - union
+ - tagged
- - const
- - var
- - automatic
- - static
- - packed
- - vectored
- - scalared
+ - const
+ - var
+ - automatic
+ - static
+ - packed
+ - vectored
+ - scalared
- - typedef
- - enum
- - string
- - chandle
- - event
+ - typedef
+ - enum
+ - string
+ - chandle
+ - event
- - null
+ - null
- - `__FILE__
- - `__LINE__
- - `begin_keywords
- - `celldefine
- - `default_nettype
- - `define
- - `else
- - `elsif
- - `end_keywords
- - `endcelldefine
- - `endif
- - `ifdef
- - `ifndef
- - `include
- - `line
- - `nounconnected_drive
- - `pragma
- - `resetall
- - `timescale
- - `unconnected_drive
- - `undef
- - `undefineall
+ - `__FILE__
+ - `__LINE__
+ - `begin_keywords
+ - `celldefine
+ - `default_nettype
+ - `define
+ - `else
+ - `elsif
+ - `end_keywords
+ - `endcelldefine
+ - `endif
+ - `ifdef
+ - `ifndef
+ - `include
+ - `line
+ - `nounconnected_drive
+ - `pragma
+ - `resetall
+ - `timescale
+ - `unconnected_drive
+ - `undef
+ - `undefineall
- - $finish
- - $stop
- - $exit
- - $realtime
- - $stime
- - $time
- - $printtimescale
- - $timeformat
- - $bitstoreal
- - $realtobits
- - $bitstoshortreal
- - $shortrealtobits
- - $itor
- - $rtoi
- - $signed
- - $unsigned
- - $cast
- - $bits
- - $isunbounded
- - $typename
- - $unpacked_dimensions
- - $dimensions
- - $left
- - $right
- - $low
- - $high
- - $increment
- - $size
- - $clog2
- - $asin
- - $ln
- - $acos
- - $log10
- - $atan
- - $exp
- - $atan2
- - $sqrt
- - $hypot
- - $pow
- - $sinh
- - $floor
- - $cosh
- - $ceil
- - $tanh
- - $sin
- - $asinh
- - $cos
- - $acosh
- - $tan
- - $atanh
- - $fatal
- - $error
- - $warning
- - $info
- - $fatal
- - $error
- - $warning
- - $info
- - $asserton
- - $assertoff
- - $assertkill
- - $assertpasson
- - $assertpassoff
- - $assertfailon
- - $assertfailoff
- - $assertnonvacuouson
- - $assertvacuousoff
- - $onehot
- - $onehot0
- - $isunknown
- - $sampled
- - $rose
- - $fell
- - $stable
- - $changed
- - $past
- - $countones
- - $past_gclk
- - $rose_gclk
- - $fell_gclk
- - $stable_gclk
- - $changed_gclk
- - $future_gclk
- - $rising_gclk
- - $falling_gclk
- - $steady_gclk
- - $changing_gclk
- - $coverage_control
- - $coverage_get_max
- - $coverage_get
- - $coverage_merge
- - $coverage_save
- - $get_coverage
- - $set_coverage_db_name
- - $load_coverage_db
- - $random
- - $dist_chi_square
- - $dist_erlang
- - $dist_exponential
- - $dist_normal
- - $dist_poisson
- - $dist_t
- - $dist_uniform
- - $q_initialize
- - $q_add
- - $q_remove
- - $q_full
- - $q_exam
- - $async$and$array
- - $async$and$plane
- - $async$nand$array
- - $async$nand$plane
- - $async$or$array
- - $async$or$plane
- - $async$nor$array
- - $async$nor$plane
- - $sync$and$array
- - $sync$and$plane
- - $sync$nand$array
- - $sync$nand$plane
- - $sync$or$array
- - $sync$or$plane
- - $sync$nor$array
- - $sync$nor$plane
- - $system
- - $display
- - $write
- - $displayb
- - $writeb
- - $displayh
- - $writeh
- - $displayo
- - $writeo
- - $strobe
- - $monitor
- - $strobeb
- - $monitorb
- - $strobeh
- - $monitorh
- - $strobeo
- - $monitoro
- - $monitoroff
- - $monitoron
- - $fclose
- - $fopen
- - $fdisplay
- - $fwrite
- - $fdisplayb
- - $fwriteb
- - $fdisplayh
- - $fwriteh
- - $fdisplayo
- - $fwriteo
- - $fstrobe
- - $fmonitor
- - $fstrobeb
- - $fmonitorb
- - $fstrobeh
- - $fmonitorh
- - $fstrobeo
- - $fmonitoro
- - $swrite
- - $sformat
- - $swriteb
- - $sformatf
- - $swriteh
- - $fgetc
- - $swriteo
- - $ungetc
- - $fscanf
- - $fgets
- - $fread
- - $sscanf
- - $fseek
- - $rewind
- - $fflush
- - $ftell
- - $feof
- - $ferror
- - $readmemb
- - $readmemh
- - $writememb
- - $writememh
- - $test$plusargs
- - $value$plusargs
- - $dumpfile
- - $dumpvars
- - $dumpoff
- - $dumpon
- - $dumpall
- - $dumplimit
- - $dumpflush
- - $dumpports
- - $dumpportsoff
- - $dumpportson
- - $dumpportsall
- - $dumpportslimit
- - $dumpportsflush
+ - $finish
+ - $stop
+ - $exit
+ - $realtime
+ - $stime
+ - $time
+ - $printtimescale
+ - $timeformat
+ - $bitstoreal
+ - $realtobits
+ - $bitstoshortreal
+ - $shortrealtobits
+ - $itor
+ - $rtoi
+ - $signed
+ - $unsigned
+ - $cast
+ - $bits
+ - $isunbounded
+ - $typename
+ - $unpacked_dimensions
+ - $dimensions
+ - $left
+ - $right
+ - $low
+ - $high
+ - $increment
+ - $size
+ - $clog2
+ - $asin
+ - $ln
+ - $acos
+ - $log10
+ - $atan
+ - $exp
+ - $atan2
+ - $sqrt
+ - $hypot
+ - $pow
+ - $sinh
+ - $floor
+ - $cosh
+ - $ceil
+ - $tanh
+ - $sin
+ - $asinh
+ - $cos
+ - $acosh
+ - $tan
+ - $atanh
+ - $fatal
+ - $error
+ - $warning
+ - $info
+ - $fatal
+ - $error
+ - $warning
+ - $info
+ - $asserton
+ - $assertoff
+ - $assertkill
+ - $assertpasson
+ - $assertpassoff
+ - $assertfailon
+ - $assertfailoff
+ - $assertnonvacuouson
+ - $assertvacuousoff
+ - $onehot
+ - $onehot0
+ - $isunknown
+ - $sampled
+ - $rose
+ - $fell
+ - $stable
+ - $changed
+ - $past
+ - $countones
+ - $past_gclk
+ - $rose_gclk
+ - $fell_gclk
+ - $stable_gclk
+ - $changed_gclk
+ - $future_gclk
+ - $rising_gclk
+ - $falling_gclk
+ - $steady_gclk
+ - $changing_gclk
+ - $coverage_control
+ - $coverage_get_max
+ - $coverage_get
+ - $coverage_merge
+ - $coverage_save
+ - $get_coverage
+ - $set_coverage_db_name
+ - $load_coverage_db
+ - $random
+ - $dist_chi_square
+ - $dist_erlang
+ - $dist_exponential
+ - $dist_normal
+ - $dist_poisson
+ - $dist_t
+ - $dist_uniform
+ - $q_initialize
+ - $q_add
+ - $q_remove
+ - $q_full
+ - $q_exam
+ - $async$and$array
+ - $async$and$plane
+ - $async$nand$array
+ - $async$nand$plane
+ - $async$or$array
+ - $async$or$plane
+ - $async$nor$array
+ - $async$nor$plane
+ - $sync$and$array
+ - $sync$and$plane
+ - $sync$nand$array
+ - $sync$nand$plane
+ - $sync$or$array
+ - $sync$or$plane
+ - $sync$nor$array
+ - $sync$nor$plane
+ - $system
+ - $display
+ - $write
+ - $displayb
+ - $writeb
+ - $displayh
+ - $writeh
+ - $displayo
+ - $writeo
+ - $strobe
+ - $monitor
+ - $strobeb
+ - $monitorb
+ - $strobeh
+ - $monitorh
+ - $strobeo
+ - $monitoro
+ - $monitoroff
+ - $monitoron
+ - $fclose
+ - $fopen
+ - $fdisplay
+ - $fwrite
+ - $fdisplayb
+ - $fwriteb
+ - $fdisplayh
+ - $fwriteh
+ - $fdisplayo
+ - $fwriteo
+ - $fstrobe
+ - $fmonitor
+ - $fstrobeb
+ - $fmonitorb
+ - $fstrobeh
+ - $fmonitorh
+ - $fstrobeo
+ - $fmonitoro
+ - $swrite
+ - $sformat
+ - $swriteb
+ - $sformatf
+ - $swriteh
+ - $fgetc
+ - $swriteo
+ - $ungetc
+ - $fscanf
+ - $fgets
+ - $fread
+ - $sscanf
+ - $fseek
+ - $rewind
+ - $fflush
+ - $ftell
+ - $feof
+ - $ferror
+ - $readmemb
+ - $readmemh
+ - $writememb
+ - $writememh
+ - $test$plusargs
+ - $value$plusargs
+ - $dumpfile
+ - $dumpvars
+ - $dumpoff
+ - $dumpon
+ - $dumpall
+ - $dumplimit
+ - $dumpflush
+ - $dumpports
+ - $dumpportsoff
+ - $dumpportson
+ - $dumpportsall
+ - $dumpportslimit
+ - $dumpportsflush
- - pullup
- - pulldown
- - cmos
- - rcmos
- - nmos
- - pmos
- - rnmos
- - rpmos
- - and
- - nand
- - or
- - nor
- - xor
- - xnor
- - not
- - buf
- - tran
- - rtran
- - tranif0
- - tranif1
- - rtranif0
- - rtranif1
- - bufif0
- - bufif1
- - notif0
- - notif1
+ - pullup
+ - pulldown
+ - cmos
+ - rcmos
+ - nmos
+ - pmos
+ - rnmos
+ - rpmos
+ - and
+ - nand
+ - or
+ - nor
+ - xor
+ - xnor
+ - not
+ - buf
+ - tran
+ - rtran
+ - tranif0
+ - tranif1
+ - rtranif0
+ - rtranif1
+ - bufif0
+ - bufif1
+ - notif0
+ - notif1
- - strong0
- - strong1
- - pull0
- - pull1
- - weak0
- - weak1
- - highz0
- - highz1
+ - strong0
+ - strong1
+ - pull0
+ - pull1
+ - weak0
+ - weak1
+ - highz0
+ - highz1
- - small
- - medium
- - large
+ - small
+ - medium
+ - large
- - randomize
- - mailbox
- - semaphore
- - put
- - get
- - try_put
- - try_get
- - peek
- - try_peek
- - process
- - state
- - self
- - status
- - kill
- - await
- - suspend
- - resume
+ - randomize
+ - mailbox
+ - semaphore
+ - put
+ - get
+ - try_put
+ - try_get
+ - peek
+ - try_peek
+ - process
+ - state
+ - self
+ - status
+ - kill
+ - await
+ - suspend
+ - resume
- - size
- - delete
- - insert
- - num
- - first
- - last
- - next
- - prev
- - pop_front
- - pop_back
- - push_front
- - push_back
- - find
- - find_index
- - find_first
- - find_last
- - find_last_index
- - min
- - max
- - unique_index
- - reverse
- - sort
- - rsort
- - shuffle
- - sum
- - product
+ - size
+ - delete
+ - insert
+ - num
+ - first
+ - last
+ - next
+ - prev
+ - pop_front
+ - pop_back
+ - push_front
+ - push_back
+ - find
+ - find_index
+ - find_first
+ - find_last
+ - find_last_index
+ - min
+ - max
+ - unique_index
+ - reverse
+ - sort
+ - rsort
+ - shuffle
+ - sum
+ - product
- - List
- - List_Iterator
- - neq
- - eq
- - data
- - empty
- - front
- - back
- - start
- - finish
- - insert_range
- - erase
- - erase_range
- - set
- - swap
- - clear
- - purge
+ - List
+ - List_Iterator
+ - neq
+ - eq
+ - data
+ - empty
+ - front
+ - back
+ - start
+ - finish
+ - insert_range
+ - erase
+ - erase_range
+ - set
+ - swap
+ - clear
+ - purge
@@ -628,151 +628,151 @@
- end
- - package
+ - package
- - endpackage
+ - endpackage
- - macromodule
+ - macromodule
- - module
+ - module
- - endmodule
+ - endmodule
- - generate
+ - generate
- - endgenerate
+ - endgenerate
- - program
+ - program
- - endprogram
+ - endprogram
- - class
+ - class
- - endclass
+ - endclass
- - function
+ - function
- - endfunction
+ - endfunction
- - case
+ - case
- - casex
+ - casex
- - casez
+ - casez
- - randcase
+ - randcase
- - endcase
+ - endcase
- - interface
+ - interface
- - endinterface
+ - endinterface
- - clocking
+ - clocking
- - endclocking
+ - endclocking
- - task
+ - task
- - endtask
+ - endtask
- - primitive
+ - primitive
- - endprimitive
+ - endprimitive
- - fork
+ - fork
- - join
+ - join
- - join_any
+ - join_any
- - join_none
+ - join_none
- - covergroup
+ - covergroup
- - endgroup
+ - endgroup
- - checker
+ - checker
- - endchecker
+ - endchecker
- - property
+ - property
- - endproperty
+ - endproperty
- - randsequence
+ - randsequence
- - sequence
+ - sequence
- - endsequence
+ - endsequence
- - specify
+ - specify
- - endspecify
+ - endspecify
- - config
+ - config
- - endconfig
+ - endconfig
- - table
+ - table
- - endtable
+ - endtable
- - extern
+ - extern
- - pure
+ - pure
- - typedef
+ - typedef
- - import
+ - import
@@ -880,7 +880,7 @@
-
+
@@ -888,7 +888,7 @@
-
+
@@ -924,29 +924,12 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
@@ -966,7 +949,6 @@
-
@@ -994,7 +976,6 @@
-
@@ -1005,15 +986,11 @@
-
-
-
-
diff --git a/kate/data/taskjuggler.xml b/kate/data/taskjuggler.xml
index eff87937c..4e649e479 100644
--- a/kate/data/taskjuggler.xml
+++ b/kate/data/taskjuggler.xml
@@ -11,297 +11,297 @@
Licensed under the GPLv2.
-->
-
+
- - account
- - project
- - task
- - resource
- - shift
- - scenario
+ - account
+ - project
+ - task
+ - resource
+ - shift
+ - scenario
- - accountid
- - accountreport
- - accumulate
- - allocate
- - allowredefinitions
- - alternative
- - barlabels
- - booking
- - bookings
- - caption
- - celltext
- - cellurl
- - chart
- - columns
- - completed
- - complete
- - copyright
- - cost
- - credit
- - criticalness
- - csvaccountreport
- - csvresourcereport
- - csvtaskreport
- - currencydigits
- - currencyformat
- - currency
- - customer
- - dailymax
- - dailyworkinghours
- - db
- - depends
- - disabled
- - drawemptycontainersastasks
- - duration
- - efficiency
- - effort
- - empty
- - enabled
- - endbuffer
- - endbufferstart
- - endcredit
- - end
- - endsAfter
- - endsBefore
- - export
- - extend
- - finished
- - flags
- - follows
- - freeload
- - gapduration
- - gaplength
- - headline
- - hideaccount
- - hidecelltext
- - hidecellurl
- - hideresource
- - hidetask
- - hierarchindex
- - hierarchlevel
- - hierarchno
- - htmlaccountreport
- - htmlmonthlycalendar
- - htmlresourcereport
- - htmlstatusreport
- - htmltaskreport
- - htmlweeklycalendar
- - icalreport
- - id
- - include
- - index
- - inherit
- - inprogress
- - journalentry
- - label
- - late
- - length
- - limits
- - load
- - loadunit
- - macro
- - mandatory
- - maxeffort
- - maxend
- - maxstart
- - milestone
- - mineffort
- - minend
- - minstart
- - monthlymax
- - name
- - no
- - note
- - notimestamp
- - notstarted
- - now
- - numberformat
- - ontime
- - optimize
- - order
- - overtime
- - pathcriticalness
- - period
- - period
- - persistent
- - precedes
- - priority
- - profit
- - projectid
- - projectids
- - projection
- - project
- - rate
- - rawhead
- - rawstylesheet
- - rawtail
- - reference
- - resourceid
- - resourcereport
- - resources
- - responsibilities
- - responsible
- - revenue
- - rollupaccount
- - rollupresource
- - rolluptask
- - scenario
- - scenarios
- - scheduled
- - schedule
- - scheduling
- - select
- - separator
- - seqno
- - shorttimeformat
- - showprojectids
- - sloppy
- - sortaccounts
- - sortresources
- - sorttasks
- - startbufferend
- - startbuffer
- - startcredit
- - start
- - startsAfter
- - startsBefore
- - status
- - statusnote
- - strict
- - subtitle
- - subtitleurl
- - supplement
- - table
- - taskattributes
- - taskid
- - taskprefix
- - taskreport
- - taskroot
- - text
- - timeformat
- - timezone
- - timingresolution
- - title
- - titleurl
- - total
- - tree
- - treeLevel
- - url
- - utilization
- - vacation
- - version
- - weekdays
- - weeklymax
- - weekstartsmonday
- - weekstartssunday
- - workinghours
- - xml
- - xmlreport
- - yearlyworkingdays
+ - accountid
+ - accountreport
+ - accumulate
+ - allocate
+ - allowredefinitions
+ - alternative
+ - barlabels
+ - booking
+ - bookings
+ - caption
+ - celltext
+ - cellurl
+ - chart
+ - columns
+ - completed
+ - complete
+ - copyright
+ - cost
+ - credit
+ - criticalness
+ - csvaccountreport
+ - csvresourcereport
+ - csvtaskreport
+ - currencydigits
+ - currencyformat
+ - currency
+ - customer
+ - dailymax
+ - dailyworkinghours
+ - db
+ - depends
+ - disabled
+ - drawemptycontainersastasks
+ - duration
+ - efficiency
+ - effort
+ - empty
+ - enabled
+ - endbuffer
+ - endbufferstart
+ - endcredit
+ - end
+ - endsAfter
+ - endsBefore
+ - export
+ - extend
+ - finished
+ - flags
+ - follows
+ - freeload
+ - gapduration
+ - gaplength
+ - headline
+ - hideaccount
+ - hidecelltext
+ - hidecellurl
+ - hideresource
+ - hidetask
+ - hierarchindex
+ - hierarchlevel
+ - hierarchno
+ - htmlaccountreport
+ - htmlmonthlycalendar
+ - htmlresourcereport
+ - htmlstatusreport
+ - htmltaskreport
+ - htmlweeklycalendar
+ - icalreport
+ - id
+ - include
+ - index
+ - inherit
+ - inprogress
+ - journalentry
+ - label
+ - late
+ - length
+ - limits
+ - load
+ - loadunit
+ - macro
+ - mandatory
+ - maxeffort
+ - maxend
+ - maxstart
+ - milestone
+ - mineffort
+ - minend
+ - minstart
+ - monthlymax
+ - name
+ - no
+ - note
+ - notimestamp
+ - notstarted
+ - now
+ - numberformat
+ - ontime
+ - optimize
+ - order
+ - overtime
+ - pathcriticalness
+ - period
+ - period
+ - persistent
+ - precedes
+ - priority
+ - profit
+ - projectid
+ - projectids
+ - projection
+ - project
+ - rate
+ - rawhead
+ - rawstylesheet
+ - rawtail
+ - reference
+ - resourceid
+ - resourcereport
+ - resources
+ - responsibilities
+ - responsible
+ - revenue
+ - rollupaccount
+ - rollupresource
+ - rolluptask
+ - scenario
+ - scenarios
+ - scheduled
+ - schedule
+ - scheduling
+ - select
+ - separator
+ - seqno
+ - shorttimeformat
+ - showprojectids
+ - sloppy
+ - sortaccounts
+ - sortresources
+ - sorttasks
+ - startbufferend
+ - startbuffer
+ - startcredit
+ - start
+ - startsAfter
+ - startsBefore
+ - status
+ - statusnote
+ - strict
+ - subtitle
+ - subtitleurl
+ - supplement
+ - table
+ - taskattributes
+ - taskid
+ - taskprefix
+ - taskreport
+ - taskroot
+ - text
+ - timeformat
+ - timezone
+ - timingresolution
+ - title
+ - titleurl
+ - total
+ - tree
+ - treeLevel
+ - url
+ - utilization
+ - vacation
+ - version
+ - weekdays
+ - weeklymax
+ - weekstartsmonday
+ - weekstartssunday
+ - workinghours
+ - xml
+ - xmlreport
+ - yearlyworkingdays
- - accountid
- - alap
- - all
- - asap
- - baseline
- - completeddown
- - completedup
- - containstask
- - criticalnessdown
- - criticalnessup
- - daily
- - day
- - days
- - enddown
- - endup
- - fri
- - fullnamedown
- - fullnameup
- - hasAssignments
- - hours
- - iddown
- - idup
- - indexdown
- - indexup
- - inprogressearly
- - inprogresslate
- - isAccount
- - isactualallocated
- - isAllocated
- - isAllocatedToProject
- - isAnAccount
- - isAResource
- - isatask
- - isATask
- - isChildOf
- - isDutyOf
- - isLeaf
- - isMilestone
- - isOnCriticalPath
- - isParentOf
- - isplanallocated
- - isResource
- - issubtaskof
- - isTask
- - isTaskOfProject
- - isTaskStatus
- - longauto
- - maxeffortdown
- - maxeffortup
- - maxloaded
- - minallocated
- - mineffortdown
- - mineffortup
- - minloaded
- - minslackrate
- - minutes
- - m
- - mon
- - month
- - monthly
- - months
- - namedown
- - nameup
- - off
- - pathcriticalnessdown
- - pathcriticalnessup
- - prioritydown
- - priorityup
- - properties
- - quarter
- - quarterly
- - random
- - ratedown
- - rateup
- - resourceid
- - responsibledown
- - responsibleup
- - sat
- - sequencedown
- - sequenceup
- - shifts
- - shortauto
- - startbufferend
- - startdown
- - startup
- - statusdown
- - statusup
- - sun
- - tasks
- - thu
- - tue
- - undefined
- - wed
- - week
- - weekly
- - weeks
- - year
- - yearly
- - years
+ - accountid
+ - alap
+ - all
+ - asap
+ - baseline
+ - completeddown
+ - completedup
+ - containstask
+ - criticalnessdown
+ - criticalnessup
+ - daily
+ - day
+ - days
+ - enddown
+ - endup
+ - fri
+ - fullnamedown
+ - fullnameup
+ - hasAssignments
+ - hours
+ - iddown
+ - idup
+ - indexdown
+ - indexup
+ - inprogressearly
+ - inprogresslate
+ - isAccount
+ - isactualallocated
+ - isAllocated
+ - isAllocatedToProject
+ - isAnAccount
+ - isAResource
+ - isatask
+ - isATask
+ - isChildOf
+ - isDutyOf
+ - isLeaf
+ - isMilestone
+ - isOnCriticalPath
+ - isParentOf
+ - isplanallocated
+ - isResource
+ - issubtaskof
+ - isTask
+ - isTaskOfProject
+ - isTaskStatus
+ - longauto
+ - maxeffortdown
+ - maxeffortup
+ - maxloaded
+ - minallocated
+ - mineffortdown
+ - mineffortup
+ - minloaded
+ - minslackrate
+ - minutes
+ - m
+ - mon
+ - month
+ - monthly
+ - months
+ - namedown
+ - nameup
+ - off
+ - pathcriticalnessdown
+ - pathcriticalnessup
+ - prioritydown
+ - priorityup
+ - properties
+ - quarter
+ - quarterly
+ - random
+ - ratedown
+ - rateup
+ - resourceid
+ - responsibledown
+ - responsibleup
+ - sat
+ - sequencedown
+ - sequenceup
+ - shifts
+ - shortauto
+ - startbufferend
+ - startdown
+ - startup
+ - statusdown
+ - statusup
+ - sun
+ - tasks
+ - thu
+ - tue
+ - undefined
+ - wed
+ - week
+ - weekly
+ - weeks
+ - year
+ - yearly
+ - years
diff --git a/kate/data/tcl.xml b/kate/data/tcl.xml
index 8d3590a94..3de187617 100644
--- a/kate/data/tcl.xml
+++ b/kate/data/tcl.xml
@@ -28,465 +28,465 @@
- Fixed detection of varaibles with names containing serval namespace delimiters (::)
-->
-
+
- - after
- - append
- - AppleScript
- - argv
- - argc
- - array
- - auto_execk
- - auto_execok
- - auto_import
- - auto_load
- - auto_mkindex
- - auto_mkindex_old
- - auto_path
- - auto_qualify
- - auto_reset
- - beep
- - bell
- - binary
- - bind
- - bindtags
- - bgerror
- - break
- - button
- - canvas
- - case
- - catch
- - cd
- - chan
- - checkbutton
- - clipboard
- - clock
- - close
- - combobox
- - concat
- - console
- - continue
- - dde
- - destroy
- - dict
- - else
- - elseif
- - encoding
- - entry
- - env
- - eof
- - error
- - errorCode
- - errorInfo
- - eval
- - event
- - exec
- - exit
- - expr
- - fblocked
- - fconfigure
- - fcopy
- - file
- - fileevent
- - flush
- - focus
- - font
- - for
- - foreach
- - format
- - frame
- - gets
- - glob
- - global
- - grab
- - grid
- - history
- - if
- - image
- - incr
- - info
- - interp
- - join
- - label
- - labelframe
- - lappend
- - lassign
- - lindex
- - linsert
- - list
- - listbox
- - llength
- - load
- - lower
- - lrange
- - lremove
- - lrepeat
- - lreplace
- - lreverse
- - lsearch
- - lset
- - lsort
- - menu
- - menubutton
- - message
- - namespace
- - notebook
- - open
- - option
- - OptProc
- - pack
- - package
- - panedwindow
- - parray
- - pid
- - place
- - pkg_mkIndex
- - proc
- - progressbar
- - puts
- - pwd
- - radiobutton
- - raise
- - read
- - regexp
- - registry
- - regsub
- - rename
- - resource
- - return
- - scale
- - scan
- - scrollbar
- - seek
- - selection
- - send
- - separator
- - set
- - sizegrip
- - socket
- - source
- - spinbox
- - split
- - string
- - style
- - subst
- - switch
- - tclLog
- - tcl_endOfWord
- - tcl_findLibrary
- - tcl_library
- - tcl_patchLevel
- - tcl_platform
- - tcl_precision
- - tcl_rcFileName
- - tcl_rcRsrcName
- - tcl_startOfNextWord
- - tcl_startOfPreviousWord
- - tcl_traceCompile
- - tcl_traceExec
- - tcl_version
- - tcl_wordBreakAfter
- - tcl_wordBreakBefore
- - tell
- - text
- - time
- - tk
- - tkTabToWindow
- - tkwait
- - tk_chooseColor
- - tk_chooseDirectory
- - tk_focusFollowMouse
- - tk_focusNext
- - tk_focusPrev
- - tk_getOpenFile
- - tk_getSaveFile
- - tk_library
- - tk_menuSetFocus
- - tk_messageBox
- - tk_optionMenu
- - tk_patchLevel
- - tk_popup
- - tk_strictMotif
- - tk_textCopy
- - tk_textCut
- - tk_textPaste
- - tk_version
- - toplevel
- - trace
- - traverseTo
- - treeview
- - unknown
- - unload
- - unset
- - update
- - uplevel
- - upvar
- - variable
- - vwait
- - while
- - winfo
- - wm
+ - after
+ - append
+ - AppleScript
+ - argv
+ - argc
+ - array
+ - auto_execk
+ - auto_execok
+ - auto_import
+ - auto_load
+ - auto_mkindex
+ - auto_mkindex_old
+ - auto_path
+ - auto_qualify
+ - auto_reset
+ - beep
+ - bell
+ - binary
+ - bind
+ - bindtags
+ - bgerror
+ - break
+ - button
+ - canvas
+ - case
+ - catch
+ - cd
+ - chan
+ - checkbutton
+ - clipboard
+ - clock
+ - close
+ - combobox
+ - concat
+ - console
+ - continue
+ - dde
+ - destroy
+ - dict
+ - else
+ - elseif
+ - encoding
+ - entry
+ - env
+ - eof
+ - error
+ - errorCode
+ - errorInfo
+ - eval
+ - event
+ - exec
+ - exit
+ - expr
+ - fblocked
+ - fconfigure
+ - fcopy
+ - file
+ - fileevent
+ - flush
+ - focus
+ - font
+ - for
+ - foreach
+ - format
+ - frame
+ - gets
+ - glob
+ - global
+ - grab
+ - grid
+ - history
+ - if
+ - image
+ - incr
+ - info
+ - interp
+ - join
+ - label
+ - labelframe
+ - lappend
+ - lassign
+ - lindex
+ - linsert
+ - list
+ - listbox
+ - llength
+ - load
+ - lower
+ - lrange
+ - lremove
+ - lrepeat
+ - lreplace
+ - lreverse
+ - lsearch
+ - lset
+ - lsort
+ - menu
+ - menubutton
+ - message
+ - namespace
+ - notebook
+ - open
+ - option
+ - OptProc
+ - pack
+ - package
+ - panedwindow
+ - parray
+ - pid
+ - place
+ - pkg_mkIndex
+ - proc
+ - progressbar
+ - puts
+ - pwd
+ - radiobutton
+ - raise
+ - read
+ - regexp
+ - registry
+ - regsub
+ - rename
+ - resource
+ - return
+ - scale
+ - scan
+ - scrollbar
+ - seek
+ - selection
+ - send
+ - separator
+ - set
+ - sizegrip
+ - socket
+ - source
+ - spinbox
+ - split
+ - string
+ - style
+ - subst
+ - switch
+ - tclLog
+ - tcl_endOfWord
+ - tcl_findLibrary
+ - tcl_library
+ - tcl_patchLevel
+ - tcl_platform
+ - tcl_precision
+ - tcl_rcFileName
+ - tcl_rcRsrcName
+ - tcl_startOfNextWord
+ - tcl_startOfPreviousWord
+ - tcl_traceCompile
+ - tcl_traceExec
+ - tcl_version
+ - tcl_wordBreakAfter
+ - tcl_wordBreakBefore
+ - tell
+ - text
+ - time
+ - tk
+ - tkTabToWindow
+ - tkwait
+ - tk_chooseColor
+ - tk_chooseDirectory
+ - tk_focusFollowMouse
+ - tk_focusNext
+ - tk_focusPrev
+ - tk_getOpenFile
+ - tk_getSaveFile
+ - tk_library
+ - tk_menuSetFocus
+ - tk_messageBox
+ - tk_optionMenu
+ - tk_patchLevel
+ - tk_popup
+ - tk_strictMotif
+ - tk_textCopy
+ - tk_textCut
+ - tk_textPaste
+ - tk_version
+ - toplevel
+ - trace
+ - traverseTo
+ - treeview
+ - unknown
+ - unload
+ - unset
+ - update
+ - uplevel
+ - upvar
+ - variable
+ - vwait
+ - while
+ - winfo
+ - wm
- - add
- - args
- - atime
- - attributes
- - body
- - bytelength
- - cancel
- - channels
- - clicks
- - cmdcount
- - commands
- - compare
- - complete
- - convertfrom
- - convertto
- - copy
- - default
- - delete
- - dirname
- - equal
- - executable
- - exists
- - extension
- - first
- - forget
- - format
- - functions
- - globals
- - hostname
- - idle
- - ifneeded
- - index
- - info
- - is
- - isdirectory
- - isfile
- - join
- - last
- - length
- - level
- - library
- - link
- - loaded
- - locals
- - lstat
- - map
- - match
- - mkdir
- - mtime
- - nameofexecutable
- - names
- - nativename
- - normalize
- - number
- - owned
- - patchlevel
- - pathtype
- - present
- - procs
- - provide
- - range
- - readable
- - readlink
- - remove
- - rename
- - repeat
- - replace
- - require
- - rootname
- - scan
- - script
- - seconds
- - separator
- - sharedlibextension
- - size
- - split
- - stat
- - system
- - tail
- - tclversion
- - tolower
- - totitle
- - toupper
- - trim
- - trimleft
- - trimright
- - type
- - unknown
- - variable
- - vars
- - vcompare
- - vdelete
- - versions
- - vinfo
- - volumes
- - vsatisfies
- - wordend
- - wordstart
- - writable
+ - add
+ - args
+ - atime
+ - attributes
+ - body
+ - bytelength
+ - cancel
+ - channels
+ - clicks
+ - cmdcount
+ - commands
+ - compare
+ - complete
+ - convertfrom
+ - convertto
+ - copy
+ - default
+ - delete
+ - dirname
+ - equal
+ - executable
+ - exists
+ - extension
+ - first
+ - forget
+ - format
+ - functions
+ - globals
+ - hostname
+ - idle
+ - ifneeded
+ - index
+ - info
+ - is
+ - isdirectory
+ - isfile
+ - join
+ - last
+ - length
+ - level
+ - library
+ - link
+ - loaded
+ - locals
+ - lstat
+ - map
+ - match
+ - mkdir
+ - mtime
+ - nameofexecutable
+ - names
+ - nativename
+ - normalize
+ - number
+ - owned
+ - patchlevel
+ - pathtype
+ - present
+ - procs
+ - provide
+ - range
+ - readable
+ - readlink
+ - remove
+ - rename
+ - repeat
+ - replace
+ - require
+ - rootname
+ - scan
+ - script
+ - seconds
+ - separator
+ - sharedlibextension
+ - size
+ - split
+ - stat
+ - system
+ - tail
+ - tclversion
+ - tolower
+ - totitle
+ - toupper
+ - trim
+ - trimleft
+ - trimright
+ - type
+ - unknown
+ - variable
+ - vars
+ - vcompare
+ - vdelete
+ - versions
+ - vinfo
+ - volumes
+ - vsatisfies
+ - wordend
+ - wordstart
+ - writable
- - activate
- - actual
- - addtag
- - append
- - appname
- - aspect
- - atom
- - atomname
- - bbox
- - bind
- - broadcast
- - canvasx
- - canvasy
- - caret
- - cells
- - cget
- - children
- - class
- - clear
- - client
- - clone
- - colormapfull
- - colormapwindows
- - command
- - configure
- - containing
- - coords
- - create
- - current
- - curselection
- - dchars
- - debug
- - deiconify
- - delta
- - depth
- - deselect
- - dlineinfo
- - dtag
- - dump
- - edit
- - entrycget
- - entryconfigure
- - families
- - find
- - flash
- - focus
- - focusmodel
- - fpixels
- - fraction
- - frame
- - generate
- - geometry
- - get
- - gettags
- - grid
- - group
- - handle
- - height
- - hide
- - iconbitmap
- - iconify
- - iconmask
- - iconname
- - iconposition
- - iconwindow
- - icursor
- - id
- - identify
- - image
- - insert
- - interps
- - inuse
- - invoke
- - ismapped
- - itemcget
- - itemconfigure
- - keys
- - lower
- - manager
- - mark
- - maxsize
- - measure
- - metrics
- - minsize
- - move
- - name
- - nearest
- - overrideredirect
- - own
- - panecget
- - paneconfigure
- - panes
- - parent
- - pathname
- - pixels
- - pointerx
- - pointerxy
- - pointery
- - positionfrom
- - post
- - postcascade
- - postscript
- - protocol
- - proxy
- - raise
- - release
- - reqheight
- - reqwidth
- - resizable
- - rgb
- - rootx
- - rooty
- - scale
- - scaling
- - screen
- - screencells
- - screendepth
- - screenheight
- - screenmmheight
- - screenmmwidth
- - screenvisual
- - screenwidth
- - search
- - see
- - select
- - selection
- - server
- - set
- - show
- - sizefrom
- - stackorder
- - state
- - status
- - tag
- - title
- - toplevel
- - transient
- - types
- - unpost
- - useinputmethods
- - validate
- - values
- - viewable
- - visual
- - visualid
- - visualsavailable
- - vrootheight
- - vrootwidth
- - vrootx
- - vrooty
- - width
- - window
- - windowingsystem
- - withdraw
- - x
- - xview
- - y
+ - activate
+ - actual
+ - addtag
+ - append
+ - appname
+ - aspect
+ - atom
+ - atomname
+ - bbox
+ - bind
+ - broadcast
+ - canvasx
+ - canvasy
+ - caret
+ - cells
+ - cget
+ - children
+ - class
+ - clear
+ - client
+ - clone
+ - colormapfull
+ - colormapwindows
+ - command
+ - configure
+ - containing
+ - coords
+ - create
+ - current
+ - curselection
+ - dchars
+ - debug
+ - deiconify
+ - delta
+ - depth
+ - deselect
+ - dlineinfo
+ - dtag
+ - dump
+ - edit
+ - entrycget
+ - entryconfigure
+ - families
+ - find
+ - flash
+ - focus
+ - focusmodel
+ - fpixels
+ - fraction
+ - frame
+ - generate
+ - geometry
+ - get
+ - gettags
+ - grid
+ - group
+ - handle
+ - height
+ - hide
+ - iconbitmap
+ - iconify
+ - iconmask
+ - iconname
+ - iconposition
+ - iconwindow
+ - icursor
+ - id
+ - identify
+ - image
+ - insert
+ - interps
+ - inuse
+ - invoke
+ - ismapped
+ - itemcget
+ - itemconfigure
+ - keys
+ - lower
+ - manager
+ - mark
+ - maxsize
+ - measure
+ - metrics
+ - minsize
+ - move
+ - name
+ - nearest
+ - overrideredirect
+ - own
+ - panecget
+ - paneconfigure
+ - panes
+ - parent
+ - pathname
+ - pixels
+ - pointerx
+ - pointerxy
+ - pointery
+ - positionfrom
+ - post
+ - postcascade
+ - postscript
+ - protocol
+ - proxy
+ - raise
+ - release
+ - reqheight
+ - reqwidth
+ - resizable
+ - rgb
+ - rootx
+ - rooty
+ - scale
+ - scaling
+ - screen
+ - screencells
+ - screendepth
+ - screenheight
+ - screenmmheight
+ - screenmmwidth
+ - screenvisual
+ - screenwidth
+ - search
+ - see
+ - select
+ - selection
+ - server
+ - set
+ - show
+ - sizefrom
+ - stackorder
+ - state
+ - status
+ - tag
+ - title
+ - toplevel
+ - transient
+ - types
+ - unpost
+ - useinputmethods
+ - validate
+ - values
+ - viewable
+ - visual
+ - visualid
+ - visualsavailable
+ - vrootheight
+ - vrootwidth
+ - vrootx
+ - vrooty
+ - width
+ - window
+ - windowingsystem
+ - withdraw
+ - x
+ - xview
+ - y
@@ -511,8 +511,8 @@
-
-
+
+
diff --git a/kate/data/tcsh.xml b/kate/data/tcsh.xml
index 676b1ce2e..958d12d28 100644
--- a/kate/data/tcsh.xml
+++ b/kate/data/tcsh.xml
@@ -16,427 +16,425 @@
- - else
- - for
- - function
- - in
- - select
- - until
- - while
- - .
- - then
+ - else
+ - for
+ - function
+ - in
+ - select
+ - until
+ - while
+ - .
+ - then
- - :
- - alias
- - alloc
- - bg
- - bindkey
- - break
- - builtins
- - bye
- - cd
- - chdir
- - complete
- - continue
- - dirs
- - echo
- - echotc
- - eval
- - exec
- - exit
- - fg
- - filetest
- - glob
- - hashstat
- - history
- - hup
- - inlib
- - jobs
- - kill
- - limit
- - log
- - login
- - logout
- - ls-F
- - migrate
- - newgrp
- - nice
- - nohup
- - notify
- - onintr
- - popd
- - printenv
- - pushd
- - rehash
- - repeat
- - sched
- - settc
- - setty
- - shift
- - source
- - stop
- - suspend
- - telltc
- - time
- - umask
- - unalias
- - uncomplete
- - unhash
- - unlimit
- - ver
- - wait
- - watchlog
- - where
- - which
+ - :
+ - alias
+ - alloc
+ - bg
+ - bindkey
+ - break
+ - builtins
+ - bye
+ - cd
+ - chdir
+ - complete
+ - continue
+ - dirs
+ - echo
+ - echotc
+ - eval
+ - exec
+ - exit
+ - fg
+ - filetest
+ - glob
+ - hashstat
+ - history
+ - hup
+ - inlib
+ - jobs
+ - kill
+ - limit
+ - log
+ - login
+ - logout
+ - ls-F
+ - migrate
+ - newgrp
+ - nice
+ - nohup
+ - notify
+ - onintr
+ - popd
+ - printenv
+ - pushd
+ - rehash
+ - repeat
+ - sched
+ - settc
+ - setty
+ - shift
+ - source
+ - stop
+ - suspend
+ - telltc
+ - time
+ - umask
+ - unalias
+ - uncomplete
+ - unhash
+ - unlimit
+ - ver
+ - wait
+ - watchlog
+ - where
+ - which
- - unset
- - unsetenv
+ - unset
+ - unsetenv
- - arch
- - awk
- - bash
- - bunzip2
- - bzcat
- - bzcmp
- - bzdiff
- - bzegrep
- - bzfgrep
- - bzgrep
- - bzip2
- - bzip2recover
- - bzless
- - bzmore
- - cat
- - chattr
- - chgrp
- - chmod
- - chown
- - chvt
- - cp
- - date
- - dd
- - deallocvt
- - df
- - dir
- - dircolors
- - dmesg
- - dnsdomainname
- - domainname
- - du
- - dumpkeys
- - echo
- - ed
- - egrep
- - false
- - fgconsole
- - fgrep
- - fuser
- - gawk
- - getkeycodes
- - gocr
- - grep
- - groff
- - groups
- - gunzip
- - gzexe
- - gzip
- - hostname
- - igawk
- - install
- - kbd_mode
- - kbdrate
- - killall
- - last
- - lastb
- - link
- - ln
- - loadkeys
- - loadunimap
- - login
- - ls
- - lsattr
- - lsmod
- - lsmod.old
- - mapscrn
- - mesg
- - mkdir
- - mkfifo
- - mknod
- - mktemp
- - more
- - mount
- - mv
- - nano
- - netstat
- - nisdomainname
- - nroff
- - openvt
- - pgawk
- - pidof
- - ping
- - ps
- - pstree
- - pwd
- - rbash
- - readlink
- - red
- - resizecons
- - rm
- - rmdir
- - run-parts
- - sash
- - sed
- - setfont
- - setkeycodes
- - setleds
- - setmetamode
- - setserial
- - sh
- - showkey
- - shred
- - sleep
- - ssed
- - stat
- - stty
- - su
- - sync
- - tar
- - tempfile
- - touch
- - troff
- - true
- - umount
- - uname
- - unicode_start
- - unicode_stop
- - unlink
- - utmpdump
- - uuidgen
- - vdir
- - wall
- - wc
- - ypdomainname
- - zcat
- - zcmp
- - zdiff
- - zegrep
- - zfgrep
- - zforce
- - zgrep
- - zless
- - zmore
- - znew
- - zsh
+ - arch
+ - awk
+ - bash
+ - bunzip2
+ - bzcat
+ - bzcmp
+ - bzdiff
+ - bzegrep
+ - bzfgrep
+ - bzgrep
+ - bzip2
+ - bzip2recover
+ - bzless
+ - bzmore
+ - cat
+ - chattr
+ - chgrp
+ - chmod
+ - chown
+ - chvt
+ - cp
+ - date
+ - dd
+ - deallocvt
+ - df
+ - dir
+ - dircolors
+ - dmesg
+ - dnsdomainname
+ - domainname
+ - du
+ - dumpkeys
+ - echo
+ - ed
+ - egrep
+ - false
+ - fgconsole
+ - fgrep
+ - fuser
+ - gawk
+ - getkeycodes
+ - gocr
+ - grep
+ - groff
+ - groups
+ - gunzip
+ - gzexe
+ - gzip
+ - hostname
+ - igawk
+ - install
+ - kbd_mode
+ - kbdrate
+ - killall
+ - last
+ - lastb
+ - link
+ - ln
+ - loadkeys
+ - loadunimap
+ - login
+ - ls
+ - lsattr
+ - lsmod
+ - lsmod.old
+ - mapscrn
+ - mesg
+ - mkdir
+ - mkfifo
+ - mknod
+ - mktemp
+ - more
+ - mount
+ - mv
+ - nano
+ - netstat
+ - nisdomainname
+ - nroff
+ - openvt
+ - pgawk
+ - pidof
+ - ping
+ - ps
+ - pstree
+ - pwd
+ - rbash
+ - readlink
+ - red
+ - resizecons
+ - rm
+ - rmdir
+ - run-parts
+ - sash
+ - sed
+ - setfont
+ - setkeycodes
+ - setleds
+ - setmetamode
+ - setserial
+ - sh
+ - showkey
+ - shred
+ - sleep
+ - ssed
+ - stat
+ - stty
+ - su
+ - sync
+ - tar
+ - tempfile
+ - touch
+ - troff
+ - true
+ - umount
+ - uname
+ - unicode_start
+ - unicode_stop
+ - unlink
+ - utmpdump
+ - uuidgen
+ - vdir
+ - wall
+ - wc
+ - ypdomainname
+ - zcat
+ - zcmp
+ - zdiff
+ - zegrep
+ - zfgrep
+ - zforce
+ - zgrep
+ - zless
+ - zmore
+ - znew
+ - zsh
- - aclocal
- - aconnect
- - aplay
- - apm
- - apmsleep
- - apropos
- - ar
- - arecord
- - as
- - as86
- - autoconf
- - autoheader
- - automake
- - awk
- - basename
- - bc
- - bison
- - c++
- - cal
- - cat
- - cc
- - cdda2wav
- - cdparanoia
- - cdrdao
- - cd-read
- - cdrecord
- - chfn
- - chgrp
- - chmod
- - chown
- - chroot
- - chsh
- - clear
- - cmake
- - cmp
- - co
- - col
- - comm
- - cp
- - cpio
- - cpp
- - cut
- - dc
- - dd
- - df
- - diff
- - diff3
- - dir
- - dircolors
- - directomatic
- - dirname
- - du
- - env
- - expr
- - fbset
- - file
- - find
- - flex
- - flex++
- - fmt
- - free
- - ftp
- - funzip
- - fuser
- - g++
- - gawk
- - gc
- - gcc
- - gdb
- - getent
- - getopt
- - gettext
- - gettextize
- - gimp
- - gimp-remote
- - gimptool
- - gmake
- - gs
- - head
- - hexdump
- - id
- - install
- - join
- - kill
- - killall
- - ld
- - ld86
- - ldd
- - less
- - lex
- - libtool
- - ln
- - locate
- - lockfile
- - logname
- - lp
- - lpr
- - ls
- - lynx
- - m4
- - make
- - man
- - mkdir
- - mknod
- - msgfmt
- - mv
- - namei
- - nasm
- - nawk
- - nice
- - nl
- - nm
- - nm86
- - nmap
- - nohup
- - nop
- - od
- - passwd
- - patch
- - pcregrep
- - pcretest
- - perl
- - perror
- - pidof
- - pr
- - printf
- - procmail
- - prune
- - ps2ascii
- - ps2epsi
- - ps2frag
- - ps2pdf
- - ps2ps
- - psbook
- - psmerge
- - psnup
- - psresize
- - psselect
- - pstops
- - rcs
- - rev
- - rm
- - scp
- - sed
- - seq
- - setterm
- - shred
- - size
- - size86
- - skill
- - slogin
- - snice
- - sort
- - sox
- - split
- - ssh
- - ssh-add
- - ssh-agent
- - ssh-keygen
- - ssh-keyscan
- - stat
- - strings
- - strip
- - sudo
- - suidperl
- - sum
- - tac
- - tail
- - tee
- - test
- - tr
- - uniq
- - unlink
- - unzip
- - updatedb
- - updmap
- - uptime
- - users
- - vmstat
- - w
- - wc
- - wget
- - whatis
- - whereis
- - which
- - who
- - whoami
- - write
- - xargs
- - yacc
- - yes
- - zip
- - zsoelim
+ - aclocal
+ - aconnect
+ - aplay
+ - apm
+ - apmsleep
+ - apropos
+ - ar
+ - arecord
+ - as
+ - as86
+ - autoconf
+ - autoheader
+ - automake
+ - awk
+ - basename
+ - bc
+ - bison
+ - c++
+ - cal
+ - cat
+ - cc
+ - cdda2wav
+ - cdparanoia
+ - cdrdao
+ - cd-read
+ - cdrecord
+ - chfn
+ - chgrp
+ - chmod
+ - chown
+ - chroot
+ - chsh
+ - clear
+ - cmp
+ - co
+ - col
+ - comm
+ - cp
+ - cpio
+ - cpp
+ - cut
+ - dc
+ - dd
+ - df
+ - diff
+ - diff3
+ - dir
+ - dircolors
+ - directomatic
+ - dirname
+ - du
+ - env
+ - expr
+ - fbset
+ - file
+ - find
+ - flex
+ - flex++
+ - fmt
+ - free
+ - ftp
+ - funzip
+ - fuser
+ - g++
+ - gawk
+ - gc
+ - gcc
+ - gdb
+ - getent
+ - getopt
+ - gettext
+ - gettextize
+ - gimp
+ - gimp-remote
+ - gimptool
+ - gmake
+ - gs
+ - head
+ - hexdump
+ - id
+ - install
+ - join
+ - kill
+ - killall
+ - ld
+ - ld86
+ - ldd
+ - less
+ - lex
+ - ln
+ - locate
+ - lockfile
+ - logname
+ - lp
+ - lpr
+ - ls
+ - lynx
+ - m4
+ - make
+ - man
+ - mkdir
+ - mknod
+ - msgfmt
+ - mv
+ - namei
+ - nasm
+ - nawk
+ - nice
+ - nl
+ - nm
+ - nm86
+ - nmap
+ - nohup
+ - nop
+ - od
+ - passwd
+ - patch
+ - pcregrep
+ - pcretest
+ - perl
+ - perror
+ - pidof
+ - pr
+ - printf
+ - procmail
+ - prune
+ - ps2ascii
+ - ps2epsi
+ - ps2frag
+ - ps2pdf
+ - ps2ps
+ - psbook
+ - psmerge
+ - psnup
+ - psresize
+ - psselect
+ - pstops
+ - rcs
+ - rev
+ - rm
+ - scp
+ - sed
+ - seq
+ - setterm
+ - shred
+ - size
+ - size86
+ - skill
+ - slogin
+ - snice
+ - sort
+ - sox
+ - split
+ - ssh
+ - ssh-add
+ - ssh-agent
+ - ssh-keygen
+ - ssh-keyscan
+ - stat
+ - strings
+ - strip
+ - sudo
+ - suidperl
+ - sum
+ - tac
+ - tail
+ - tee
+ - test
+ - tr
+ - uniq
+ - unlink
+ - unzip
+ - updatedb
+ - updmap
+ - uptime
+ - users
+ - vmstat
+ - w
+ - wc
+ - wget
+ - whatis
+ - whereis
+ - which
+ - who
+ - whoami
+ - write
+ - xargs
+ - yacc
+ - yes
+ - zip
+ - zsoelim
- - dcop
- - kdialog
- - tdefile
- - xhost
- - xmodmap
- - xset
+ - dcop
+ - kdialog
+ - tdefile
+ - xhost
+ - xmodmap
+ - xset
diff --git a/kate/data/template-toolkit.xml b/kate/data/template-toolkit.xml
index 0a2e8821c..f7f4c4f74 100644
--- a/kate/data/template-toolkit.xml
+++ b/kate/data/template-toolkit.xml
@@ -139,45 +139,45 @@
- latex
- - =
- - !=
- - ~=
- - +=
- - -=
- - *=
- - /=
- - **=
- - |=
- - ||=
- - &=
- - &&=
- - ?=
- - +
- - -
- - *
-
- - %
- - ||
- - &&
- - |
- - &
- - <
- - <<
- - >
- - >>
- - ^
- - ->
- - =>
- - .
- - ,
- - ;
- - ::
- - \
- - and
- - or
- - not
- - eq
- - ne
+ - =
+ - !=
+ - ~=
+ - +=
+ - -=
+ - *=
+ - /=
+ - **=
+ - |=
+ - ||=
+ - &=
+ - &&=
+ - ?=
+ - +
+ - -
+ - *
+
+ - %
+ - ||
+ - &&
+ - |
+ - &
+ - <
+ - <<
+ - >
+ - >>
+ - ^
+ - ->
+ - =>
+ - .
+ - ,
+ - ;
+ - ::
+ - \
+ - and
+ - or
+ - not
+ - eq
+ - ne
diff --git a/kate/data/tibasic.xml b/kate/data/tibasic.xml
index caf5a6d31..d1a0fca02 100644
--- a/kate/data/tibasic.xml
+++ b/kate/data/tibasic.xml
@@ -3,34 +3,34 @@
- - If
- - Then
- - Else
- - For
- - While
- - Repeat
- - End
- - Pause
- - Lbl
- - Goto
- - IS>
- - DS<
- - Menu
- - prgm
- - Return
- - DelVar
- - GraphStyle
- - Input
- - Prompt
- - Disp
- - DispGraph
- - DispTable
- - Output
- - getKey
- - ClrHome
- - ClrTable
- - GetCalc
- - Get
+ - If
+ - Then
+ - Else
+ - For
+ - While
+ - Repeat
+ - End
+ - Pause
+ - Lbl
+ - Goto
+ - IS>
+ - DS<
+ - Menu
+ - prgm
+ - Return
+ - DelVar
+ - GraphStyle
+ - Input
+ - Prompt
+ - Disp
+ - DispGraph
+ - DispTable
+ - Output
+ - getKey
+ - ClrHome
+ - ClrTable
+ - GetCalc
+ - Get
- Send
- prgm
diff --git a/kate/data/update-files.xml b/kate/data/update-files.xml
index 48805a566..c4cf021de 100644
--- a/kate/data/update-files.xml
+++ b/kate/data/update-files.xml
@@ -2,12 +2,12 @@
-
+
-
+
@@ -17,26 +17,26 @@
-
+
-
+
-
+
-
+
-
+
@@ -49,7 +49,7 @@
-
+
@@ -64,7 +64,7 @@
-
+
@@ -81,7 +81,7 @@
-
+
@@ -91,43 +91,43 @@
-
+
-
+
-
+
-
-
+
+
-
+
-
+
-
-
-
+
+
+
-
+
-
-
+
+
-
-
-
+
+
+
@@ -135,49 +135,52 @@
-
-
+
+
-
+
-
-
+
+
-
-
+
+
-
-
-
-
-
+
+
+
+
+
+
+
+
-
+
-
+
-
+
-
+
-
-
+
+
-
+
-
-
-
-
+
+
+
+
@@ -185,73 +188,73 @@
-
+
-
-
+
+
-
+
-
-
-
-
-
+
+
+
+
+
-
-
-
+
+
+
-
-
-
+
+
+
-
-
-
-
-
-
+
+
+
+
+
+
-
-
+
+
-
+
-
+
-
-
+
+
-
-
-
-
-
+
+
+
+
+
-
+
-
-
-
-
+
+
+
+
-
+
diff --git a/kate/data/uscript.xml b/kate/data/uscript.xml
index 57e061b8e..13d32627c 100644
--- a/kate/data/uscript.xml
+++ b/kate/data/uscript.xml
@@ -1,136 +1,125 @@
-
+
- - break
- - continue
- - if
- - else
- - switch
- - while
- - for
- - do
- - foreach
- - true
- - false
- - null
- - new
- - instanceof
- - state
- - auto
- - exec
- - function
- - defaultproperties
- - native
- - noexport
- - var
- - out
- - local
- - event
- - return
- - static
- - Static
- - synchronized
- - transient
- - volatile
- - final
- - throws
- - extends
- - expands
- - public
- - protected
- - private
- - abstract
- - case
- - default
- - final
- - simulated
- - Dot
- - nativereplication
- - replication
- - unreliable
- - reliable
- - ignores
- - localized
- - latent
- - singular
- - Cross
- - config
- - enum
- - struct
- - operator
- - preoperator
- - postoperator
- - iterator
- - coerce
- - optional
- - const
- - editconst
- - array
- - export
- - editinline
- - editinlinenew
- - editinlineuse
- - cpptext
- - placeable
- - virtual
- - hidecategories
- - super
- - global
- - none
- - self
+ - break
+ - continue
+ - if
+ - else
+ - switch
+ - while
+ - for
+ - do
+ - foreach
+ - true
+ - false
+ - null
+ - new
+ - instanceof
+ - state
+ - auto
+ - exec
+ - function
+ - defaultproperties
+ - native
+ - noexport
+ - var
+ - out
+ - local
+ - event
+ - return
+ - static
+ - Static
+ - synchronized
+ - transient
+ - volatile
+ - final
+ - throws
+ - extends
+ - expands
+ - public
+ - protected
+ - private
+ - abstract
+ - case
+ - default
+ - final
+ - simulated
+ - Dot
+ - nativereplication
+ - replication
+ - unreliable
+ - reliable
+ - ignores
+ - localized
+ - latent
+ - singular
+ - Cross
+ - config
+ - enum
+ - struct
+ - operator
+ - preoperator
+ - postoperator
+ - iterator
+ - coerce
+ - optional
+ - const
+ - editconst
+ - array
+ - export
+ - editinline
+ - editinlinenew
+ - editinlineuse
+ - cpptext
+ - placeable
+ - virtual
+ - hidecategories
+ - super
+ - global
+ - none
+ - self
- - boolean
- - char
- - byte
- - short
- - int
- - long
- - float
- - double
- - void
- - Pawn
- - sound
- - ipaddr
- - ELightType
- - actor
- - ammo
- - bool
- - vector
- - rotator
- - name
- - string
- - object
- - plane
- - staticmesh
- - package
- - color
- - coords
- - material
- - class
+ - boolean
+ - char
+ - byte
+ - short
+ - int
+ - long
+ - float
+ - double
+ - void
+ - Pawn
+ - sound
+ - ipaddr
+ - ELightType
+ - actor
+ - ammo
+ - bool
+ - vector
+ - rotator
+ - name
+ - string
+ - object
+ - plane
+ - staticmesh
+ - package
+ - color
+ - coords
+ - material
+ - class
-
-
-
+
-
-
-
-
-
-
-
-
-
-
+
@@ -143,6 +132,19 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/kate/data/vcard.xml b/kate/data/vcard.xml
index 2e2c8e745..5eafaa080 100644
--- a/kate/data/vcard.xml
+++ b/kate/data/vcard.xml
@@ -2,7 +2,7 @@
-
+
diff --git a/kate/data/velocity.xml b/kate/data/velocity.xml
index 8517772be..cf901d891 100644
--- a/kate/data/velocity.xml
+++ b/kate/data/velocity.xml
@@ -3,16 +3,16 @@
- - #set
- - #foreach
- - #end
- - #if
- - #else
- - #elseif
- - #parse
- - #macro
- - #stop
- - #include
+ - #set
+ - #foreach
+ - #end
+ - #if
+ - #else
+ - #elseif
+ - #parse
+ - #macro
+ - #stop
+ - #include
diff --git a/kate/data/vera.xml b/kate/data/vera.xml
index ad16e94a1..606bee41f 100644
--- a/kate/data/vera.xml
+++ b/kate/data/vera.xml
@@ -39,7 +39,7 @@
-
+
- after
diff --git a/kate/data/verilog.xml b/kate/data/verilog.xml
index 36a778cfc..9f113b432 100644
--- a/kate/data/verilog.xml
+++ b/kate/data/verilog.xml
@@ -1,150 +1,150 @@
-
+
- - macromodule
- - table
- - endtable
- - specify
- - specparam
- - endspecify
-
- - defparam
- - default
- - if
- - ifnone
- - else
- - forever
- - while
- - for
- - wait
- - repeat
- - disable
-
- - assign
- - deassign
- - force
- - release
-
- - always
- - initial
- - edge
- - posedge
- - negedge
+ - macromodule
+ - table
+ - endtable
+ - specify
+ - specparam
+ - endspecify
+
+ - defparam
+ - default
+ - if
+ - ifnone
+ - else
+ - forever
+ - while
+ - for
+ - wait
+ - repeat
+ - disable
+
+ - assign
+ - deassign
+ - force
+ - release
+
+ - always
+ - initial
+ - edge
+ - posedge
+ - negedge
- - config
- - endconfig
- - library
- - design
- - liblist
- - cell
- - use
- - instance
+ - config
+ - endconfig
+ - library
+ - design
+ - liblist
+ - cell
+ - use
+ - instance
- - begin
- - fork
- - module
- - case
- - casex
- - casez
- - task
- - function
- - generate
+ - begin
+ - fork
+ - module
+ - case
+ - casex
+ - casez
+ - task
+ - function
+ - generate
- - end
- - join
- - endmodule
- - endcase
- - endtask
- - endfunction
- - endgenerate
+ - end
+ - join
+ - endmodule
+ - endcase
+ - endtask
+ - endfunction
+ - endgenerate
- - strong0
- - strong1
- - pull0
- - pull1
- - weak0
- - weak1
- - highz0
- - highz1
+ - strong0
+ - strong1
+ - pull0
+ - pull1
+ - weak0
+ - weak1
+ - highz0
+ - highz1
- - small
- - medium
- - large
+ - small
+ - medium
+ - large
- - pullup
- - pulldown
- - cmos
- - rcmos
- - nmos
- - pmos
- - rnmos
- - rpmos
- - and
- - nand
- - or
- - nor
- - xor
- - xnor
- - not
- - buf
- - tran
- - rtran
- - tranif0
- - tranif1
- - rtranif0
- - rtranif1
- - bufif0
- - bufif1
- - notif0
- - notif1
+ - pullup
+ - pulldown
+ - cmos
+ - rcmos
+ - nmos
+ - pmos
+ - rnmos
+ - rpmos
+ - and
+ - nand
+ - or
+ - nor
+ - xor
+ - xnor
+ - not
+ - buf
+ - tran
+ - rtran
+ - tranif0
+ - tranif1
+ - rtranif0
+ - rtranif1
+ - bufif0
+ - bufif1
+ - notif0
+ - notif1
- - input
- - output
- - inout
+ - input
+ - output
+ - inout
- - wire
- - tri
- - tri0
- - tri1
- - wand
- - wor
- - triand
- - trior
- - supply0
- - supply1
+ - wire
+ - tri
+ - tri0
+ - tri1
+ - wand
+ - wor
+ - triand
+ - trior
+ - supply0
+ - supply1
- - reg
- - integer
- - real
- - realtime
- - time
+ - reg
+ - integer
+ - real
+ - realtime
+ - time
- - vectored
- - scalared
- - trireg
+ - vectored
+ - scalared
+ - trireg
- - parameter
- - event
+ - parameter
+ - event
- - signed
- - automatic
- - genvar
- - localparam
+ - signed
+ - automatic
+ - genvar
+ - localparam
@@ -204,18 +204,14 @@
-
-
-
-
-
+
@@ -232,7 +228,6 @@
-
@@ -243,7 +238,7 @@
-
+
diff --git a/kate/data/vrml.xml b/kate/data/vrml.xml
index 8387e8706..25839fd29 100644
--- a/kate/data/vrml.xml
+++ b/kate/data/vrml.xml
@@ -1,6 +1,6 @@
-
+
- DEF
diff --git a/kate/data/winehq.xml b/kate/data/winehq.xml
index 79e65cffb..112f67d90 100644
--- a/kate/data/winehq.xml
+++ b/kate/data/winehq.xml
@@ -1,6 +1,6 @@
-
+
@@ -27,8 +27,6 @@
-
-
diff --git a/kate/data/wml.xml b/kate/data/wml.xml
index e3ce172f2..433649c16 100644
--- a/kate/data/wml.xml
+++ b/kate/data/wml.xml
@@ -54,14 +54,14 @@
* Made the new #ifndef directive be recognized (implemented on Wesnoth 1.3.11 and later)
-->
-
- - wmllint
- - wmlindent
- - wmlscope
- - po
+ - wmllint
+ - wmlindent
+ - wmlscope
+ - po
- #textdomain
@@ -100,7 +100,7 @@
-
+
@@ -116,12 +116,12 @@
-
+
-
+
@@ -187,7 +187,6 @@
-
diff --git a/kate/data/xharbour.xml b/kate/data/xharbour.xml
index 05eedbe89..43336fd12 100644
--- a/kate/data/xharbour.xml
+++ b/kate/data/xharbour.xml
@@ -1,460 +1,460 @@
-
+
- - local
- - global
- - extern
- - field
- - each
- - as
- - set
- - clear
- - screen
- - databases
- - all
- - close
- - color
- - date
- - else
- - elseif
- - in
- - to
- - do
- - loop
- - catch
- - exit
- - box
- - say
- - case
- - switch
- - self
- - super
- - say
- - get
- - read
- - use
- - select
- - otherwise
- - index
- - alias
- - like
- - has
- - return
- - static
- - on
- - off
- - nil
- - ?
- - class
- - external
+ - local
+ - global
+ - extern
+ - field
+ - each
+ - as
+ - set
+ - clear
+ - screen
+ - databases
+ - all
+ - close
+ - color
+ - date
+ - else
+ - elseif
+ - in
+ - to
+ - do
+ - loop
+ - catch
+ - exit
+ - box
+ - say
+ - case
+ - switch
+ - self
+ - super
+ - say
+ - get
+ - read
+ - use
+ - select
+ - otherwise
+ - index
+ - alias
+ - like
+ - has
+ - return
+ - static
+ - on
+ - off
+ - nil
+ - ?
+ - class
+ - external
- - FOR
- - IF
- - SWITCH
- - WHILE
- - TRY
- - BEGIN
- - PROCEDURE
- - FUNCTION
- - METHOD
+ - FOR
+ - IF
+ - SWITCH
+ - WHILE
+ - TRY
+ - BEGIN
+ - PROCEDURE
+ - FUNCTION
+ - METHOD
- - NEXT
- - END
- - ENDIF
- - ENDDO
- - ENDCASE
+ - NEXT
+ - END
+ - ENDIF
+ - ENDDO
+ - ENDCASE
- - data
- - inline
- - method
- - classdata
- - init
- - from
- - hidden
+ - data
+ - inline
+ - method
+ - classdata
+ - init
+ - from
+ - hidden
- - INVALID
- - EXACT
- - FIXED
- - DECIMALS
- - DATEFORMAT
- - EPOCH
- - PATH
- - DEFAULT
- - EXCLUSIVE
- - SOFTSEEK
- - UNIQUE
- - DELETED
- - CANCEL
- - DEBUG
- - TYPEAHEAD
- - COLOR
- - CURSOR
- - CONSOLE
- - ALTERNATE
- - ALTFILE
- - DEVICE
- - EXTRA
- - EXTRAFILE
- - PRINTER
- - PRINTFILE
- - MARGIN
- - BELL
- - CONFIRM
- - ESCAPE
- - INSERT
- - EXIT
- - INTENSITY
- - SCOREBOARD
- - DELIMITERS
- - DELIMCHARS
- - WRAP
- - MESSAGE
- - MCENTER
- - SCROLLBREAK
- - EVENTMASK
- - VIDEOMODE
- - MBLOCKSIZE
- - MFILEEXT
- - STRICTREAD
- - OPTIMIZE
- - AUTOPEN
- - AUTORDER
- - AUTOSHARE
- - LANGUAGE
- - IDLEREPEAT
- - TRACE
- - TRACEFILE
- - TRACESTACK
- - FILECASE
- - DIRCASE
- - DIRSEPARATOR
+ - INVALID
+ - EXACT
+ - FIXED
+ - DECIMALS
+ - DATEFORMAT
+ - EPOCH
+ - PATH
+ - DEFAULT
+ - EXCLUSIVE
+ - SOFTSEEK
+ - UNIQUE
+ - DELETED
+ - CANCEL
+ - DEBUG
+ - TYPEAHEAD
+ - COLOR
+ - CURSOR
+ - CONSOLE
+ - ALTERNATE
+ - ALTFILE
+ - DEVICE
+ - EXTRA
+ - EXTRAFILE
+ - PRINTER
+ - PRINTFILE
+ - MARGIN
+ - BELL
+ - CONFIRM
+ - ESCAPE
+ - INSERT
+ - EXIT
+ - INTENSITY
+ - SCOREBOARD
+ - DELIMITERS
+ - DELIMCHARS
+ - WRAP
+ - MESSAGE
+ - MCENTER
+ - SCROLLBREAK
+ - EVENTMASK
+ - VIDEOMODE
+ - MBLOCKSIZE
+ - MFILEEXT
+ - STRICTREAD
+ - OPTIMIZE
+ - AUTOPEN
+ - AUTORDER
+ - AUTOSHARE
+ - LANGUAGE
+ - IDLEREPEAT
+ - TRACE
+ - TRACEFILE
+ - TRACESTACK
+ - FILECASE
+ - DIRCASE
+ - DIRSEPARATOR
- - aadd
- - adel
- - achoice
- - aclone
- - aeval
- - ains
- - ascan
- - asize
- - adir
- - afill
- - atail
- - asort
- - array
- - TAssociativeArray
-
- - bin21
- - bin2l
- - bin2u
- - bin2w
- - i2bin
- - l2bin
- - u2bin
- - w2bin
-
- - eval
- - fieldblock
- - fieldwblock
-
- - inkey
- - lastkey
- - mcol
- - mrow
- - nextkey
-
- - empty
- - word
- - descend
- - __dbdelim
- - __dbsdf
-
- - os
- - __run
-
- - alert
- - browse
- - dbedit
- - outerr
- - outstd
- - readkey
- - readvar
- - __atprompt
- - __input
- - __menuto
- - __nonoallert
- - __typefile
- - __xrestscreen
- - __xsavescreen
-
- - DBAPPEND
- - DBCLEARFILTER
- - DBCLOSEALL
- - DBCLOSEAREA
- - DBCOMMIT
- - DBCOMMITALL
- - DBCREATE
- - DBDELETE
- - DBEVAL
- - DBF
- - DBFILTER
- - DBGOBOTTOM
- - DBGOTO
- - DBGOTOP
- - DBRECALL
- - DBRLOCK
- - DBRLOCKLIST
- - DBRUNLOCK
- - DBSEEK
- - DBSELECTAREA
- - DBSETDRIVER
- - DBSETFILTER
- - DBSKIP
- - DBSTRUCT
- - DBUNLOCK
- - DBUNLOCKALL
- - DBUSEAREA
- - INDEXEXT
- - INDEXKEY
- - INDEXORD
- - ORDBAGEXT
- - ORDBAGNAME
- - ORDCONDSET
- - ORDCREATE
- - ORDDESTROY
- - ORDFOR
- - ORDKEY
- - ORDLISTADD
- - ORDLISTCLEAR
- - ORDLISTREBUILD
- - ORDNAME
- - ORDNUMBER
- - ORDSETFOCUS
- - RDDLIST
- - RDDNAME
- - RDDSETDEFAULT
- - __DBCONTINUE
- - __DBZAP
- - __FLEDIT
- - __RDDSETDEFAULT
- - __dbCopyStruct
- - __dbCopyXStruct
- - __dbCreate
- - __dbStructFilter
- - dbSkipper
- - CDOW
- - CMONTH
- - CTOD
- - DATE
- - DAY
- - DAYS
- - DOW
- - DTOC
- - DTOS
- - MONTH
- - YEAR
-
- - GETENV
- - SET
- - SETMODE
- - SETTYPEAHEAD
- - VERSION
- - __SETCENTURY
- - __SetFunction
-
- - break
- - errorsys
- - throw
- - errornew
-
- - HB_SETKEYSAVE
- - HB_SetKeyCheck
- - HB_SetKeyGet
- - SETKEY
- - __QUIT
- - __WAIT
-
- - file
- - frename
- - __dir
-
- - col
- - maxcol
- - maxrow
- - row
- - hb_colorindex
-
- - CURDIR
- - DIRCHANGE
- - DIRREMOVE
- - DISKSPACE
- - FCLOSE
- - FCREATE
- - FERASE
- - FERROR
- - FOPEN
- - FREAD
- - FREADSTR
- - FSEEK
- - FWRITE
- - HB_DISKSPACE
- - HB_FEOF
- - ISDISK
- - MAKEDIR
-
- - ABS
- - EXP
- - INT
- - LOG
- - MAX
- - MIN
- - MOD
- - ROUND
- - SQRT
-
- - HB_ISBYREF
- - PROCFILE
- - PROCLINE
- - PROCNAME
- - TYPE
- - VALTYPE
- - valtoprg
- - tone
-
- - HB_LANGNAME
- - HB_LANGSELECT
- - ISAFFIRM
- - ISNEGATIVE
- - NATIONMSG
-
- - pcount
- - HB_pvalue
-
- - ALLTRIM
- - ASC
- - AT
- - CHR
- - HARDCR
- - HB_ANSITOOEM
- - HB_OEMTOANSI
- - HB_VALTOSTR
- - ISALPHA
- - ISDIGIT
- - ISLOWER
- - ISUPPER
- - LEFT
- - LEN
- - LOWER
- - LTRIM
- - MEMOTRAN
- - PADC
- - PADL
- - PADR
- - RAT
- - REPLICATE
- - RIGHT
- - RTRIM
- - SPACE
- - STR
- - STRTRAN
- - STRZERO
- - SUBSTR
- - TRANSFORM
- - TRIM
- - UPPER
- - VAL
-
- - devoutpict
-
- - elaptime
- - seconds
- - secs
- - time
-
- - do
-
- - ThreadStart
- - ThreadStop
- - ThreadSleep
- - ThreadKill
- - ThreadJoin
- - CreateMutex
- - DestroyMutex
- - MutexLock
- - MutexUnlock
- - Subscribe
- - SubscribeNow
- - Notify
- - NotifyAll
- - WaitForThreads
- - KillAllThreads
-
- - InetInit
- - InetCleanup
- - InetCreate
- - InetDestroy
- - InetConnect
- - InetServer
- - InetAccept
- - InetSetTimeout
- - InetGetTimeout
- - InetClearTimeout
- - InetRecv
- - InetRecvAll
- - InetSend
- - InetSendAll
- - InetDGram
- - InetDGramRecv
- - InetDGramSend
- - InetAddress
- - InetPort
- - InetError
- - InetErrorDesc
- - InetGetHosts
- - InetConnectIP
-
- - hb_regex
- - hb_regexmatch
- - hb_regexsplit
- - hb_regexcomp
- - hb_readini
- - hb_writeini
- - hb_random
- - hb_chechsum
- - hb_crypt
- - hb_decrypt
- - hb_hextonum
- - hb_numtohex
- - hb_exec
- - hb_execfromarray
-
- - hb_class
- - hb_keyput
- - hb_osnewline
+ - aadd
+ - adel
+ - achoice
+ - aclone
+ - aeval
+ - ains
+ - ascan
+ - asize
+ - adir
+ - afill
+ - atail
+ - asort
+ - array
+ - TAssociativeArray
+
+ - bin21
+ - bin2l
+ - bin2u
+ - bin2w
+ - i2bin
+ - l2bin
+ - u2bin
+ - w2bin
+
+ - eval
+ - fieldblock
+ - fieldwblock
+
+ - inkey
+ - lastkey
+ - mcol
+ - mrow
+ - nextkey
+
+ - empty
+ - word
+ - descend
+ - __dbdelim
+ - __dbsdf
+
+ - os
+ - __run
+
+ - alert
+ - browse
+ - dbedit
+ - outerr
+ - outstd
+ - readkey
+ - readvar
+ - __atprompt
+ - __input
+ - __menuto
+ - __nonoallert
+ - __typefile
+ - __xrestscreen
+ - __xsavescreen
+
+ - DBAPPEND
+ - DBCLEARFILTER
+ - DBCLOSEALL
+ - DBCLOSEAREA
+ - DBCOMMIT
+ - DBCOMMITALL
+ - DBCREATE
+ - DBDELETE
+ - DBEVAL
+ - DBF
+ - DBFILTER
+ - DBGOBOTTOM
+ - DBGOTO
+ - DBGOTOP
+ - DBRECALL
+ - DBRLOCK
+ - DBRLOCKLIST
+ - DBRUNLOCK
+ - DBSEEK
+ - DBSELECTAREA
+ - DBSETDRIVER
+ - DBSETFILTER
+ - DBSKIP
+ - DBSTRUCT
+ - DBUNLOCK
+ - DBUNLOCKALL
+ - DBUSEAREA
+ - INDEXEXT
+ - INDEXKEY
+ - INDEXORD
+ - ORDBAGEXT
+ - ORDBAGNAME
+ - ORDCONDSET
+ - ORDCREATE
+ - ORDDESTROY
+ - ORDFOR
+ - ORDKEY
+ - ORDLISTADD
+ - ORDLISTCLEAR
+ - ORDLISTREBUILD
+ - ORDNAME
+ - ORDNUMBER
+ - ORDSETFOCUS
+ - RDDLIST
+ - RDDNAME
+ - RDDSETDEFAULT
+ - __DBCONTINUE
+ - __DBZAP
+ - __FLEDIT
+ - __RDDSETDEFAULT
+ - __dbCopyStruct
+ - __dbCopyXStruct
+ - __dbCreate
+ - __dbStructFilter
+ - dbSkipper
+ - CDOW
+ - CMONTH
+ - CTOD
+ - DATE
+ - DAY
+ - DAYS
+ - DOW
+ - DTOC
+ - DTOS
+ - MONTH
+ - YEAR
+
+ - GETENV
+ - SET
+ - SETMODE
+ - SETTYPEAHEAD
+ - VERSION
+ - __SETCENTURY
+ - __SetFunction
+
+ - break
+ - errorsys
+ - throw
+ - errornew
+
+ - HB_SETKEYSAVE
+ - HB_SetKeyCheck
+ - HB_SetKeyGet
+ - SETKEY
+ - __QUIT
+ - __WAIT
+
+ - file
+ - frename
+ - __dir
+
+ - col
+ - maxcol
+ - maxrow
+ - row
+ - hb_colorindex
+
+ - CURDIR
+ - DIRCHANGE
+ - DIRREMOVE
+ - DISKSPACE
+ - FCLOSE
+ - FCREATE
+ - FERASE
+ - FERROR
+ - FOPEN
+ - FREAD
+ - FREADSTR
+ - FSEEK
+ - FWRITE
+ - HB_DISKSPACE
+ - HB_FEOF
+ - ISDISK
+ - MAKEDIR
+
+ - ABS
+ - EXP
+ - INT
+ - LOG
+ - MAX
+ - MIN
+ - MOD
+ - ROUND
+ - SQRT
+
+ - HB_ISBYREF
+ - PROCFILE
+ - PROCLINE
+ - PROCNAME
+ - TYPE
+ - VALTYPE
+ - valtoprg
+ - tone
+
+ - HB_LANGNAME
+ - HB_LANGSELECT
+ - ISAFFIRM
+ - ISNEGATIVE
+ - NATIONMSG
+
+ - pcount
+ - HB_pvalue
+
+ - ALLTRIM
+ - ASC
+ - AT
+ - CHR
+ - HARDCR
+ - HB_ANSITOOEM
+ - HB_OEMTOANSI
+ - HB_VALTOSTR
+ - ISALPHA
+ - ISDIGIT
+ - ISLOWER
+ - ISUPPER
+ - LEFT
+ - LEN
+ - LOWER
+ - LTRIM
+ - MEMOTRAN
+ - PADC
+ - PADL
+ - PADR
+ - RAT
+ - REPLICATE
+ - RIGHT
+ - RTRIM
+ - SPACE
+ - STR
+ - STRTRAN
+ - STRZERO
+ - SUBSTR
+ - TRANSFORM
+ - TRIM
+ - UPPER
+ - VAL
+
+ - devoutpict
+
+ - elaptime
+ - seconds
+ - secs
+ - time
+
+ - do
+
+ - ThreadStart
+ - ThreadStop
+ - ThreadSleep
+ - ThreadKill
+ - ThreadJoin
+ - CreateMutex
+ - DestroyMutex
+ - MutexLock
+ - MutexUnlock
+ - Subscribe
+ - SubscribeNow
+ - Notify
+ - NotifyAll
+ - WaitForThreads
+ - KillAllThreads
+
+ - InetInit
+ - InetCleanup
+ - InetCreate
+ - InetDestroy
+ - InetConnect
+ - InetServer
+ - InetAccept
+ - InetSetTimeout
+ - InetGetTimeout
+ - InetClearTimeout
+ - InetRecv
+ - InetRecvAll
+ - InetSend
+ - InetSendAll
+ - InetDGram
+ - InetDGramRecv
+ - InetDGramSend
+ - InetAddress
+ - InetPort
+ - InetError
+ - InetErrorDesc
+ - InetGetHosts
+ - InetConnectIP
+
+ - hb_regex
+ - hb_regexmatch
+ - hb_regexsplit
+ - hb_regexcomp
+ - hb_readini
+ - hb_writeini
+ - hb_random
+ - hb_chechsum
+ - hb_crypt
+ - hb_decrypt
+ - hb_hextonum
+ - hb_numtohex
+ - hb_exec
+ - hb_execfromarray
+
+ - hb_class
+ - hb_keyput
+ - hb_osnewline
- - #include
- - #if
- - #ifdef
- - #ifndef
- - #endif
- - #else
- - #define
+ - #include
+ - #if
+ - #ifdef
+ - #ifndef
+ - #endif
+ - #else
+ - #define
@@ -504,10 +504,6 @@
-
-
-
-
diff --git a/kate/data/xmldebug.xml b/kate/data/xmldebug.xml
index f94fa9ef2..5bb913d6d 100644
--- a/kate/data/xmldebug.xml
+++ b/kate/data/xmldebug.xml
@@ -1,6 +1,6 @@
-
+
- CDATA
@@ -127,12 +127,12 @@
-
+
-
+
@@ -290,6 +290,7 @@
+
@@ -336,8 +337,7 @@
-
-
+
@@ -371,12 +371,12 @@
-
+
-
+
@@ -413,12 +413,12 @@
-
+
-
+
@@ -465,12 +465,12 @@
-
+
-
+
@@ -579,8 +579,7 @@
-
-
+
@@ -589,6 +588,5 @@
-
diff --git a/kate/data/xonotic-console.xml b/kate/data/xonotic-console.xml
index 1182254a4..48ef73409 100644
--- a/kate/data/xonotic-console.xml
+++ b/kate/data/xonotic-console.xml
@@ -1,5567 +1,5567 @@
-
+
- - alias
- - apropos
- - begin
- - bestweapon
- - bf
- - bind
- - bindlist
- - bottomcolor
- - cd
- - centerview
- - changelevel
- - changing
- - cl_areastats
- - cl_begindownloads
- - cl_cmd
- - cl_downloadbegin
- - cl_downloadfinished
- - cl_modelindexlist
- - cl_particles_reloadeffects
- - cl_soundindexlist
- - clear
- - cmd
- - cmdlist
- - color
- - commandmode
- - condump
- - connect
- - cprint
- - curl
- - cvar_lockdefaults
- - cvar_resettodefaults_all
- - cvar_resettodefaults_nosaveonly
- - cvar_resettodefaults_saveonly
- - cvarlist
- - defer
- - demos
- - dir
- - disconnect
- - download
- - echo
- - entities
- - envmap
- - exec
- - fixtrans
- - fly
- - fog
- - fog_heighttexture
- - force_centerview
- - fs_rescan
- - fullinfo
- - fullserverinfo
- - gamedir
- - give
- - gl_texturemode
- - gl_vbostats
- - god
- - heartbeat
- - history
- - impulse
- - in_bind
- - in_bindlist
- - in_bindmap
- - in_unbind
- - infobar
- - iplog_list
- - kick
- - kill
- - kickban
- - load
- - loadconfig
- - loadfont
- - loadsky
- - locs_add
- - locs_clear
- - locs_reload
- - locs_removenearest
- - locs_save
- - ls
- - map
- - maps
- - maxplayers
- - memlist
- - memstats
- - menu_cmd
- - menu_restart
- - messagemode
- - messagemode2
- - mod_generatelightmaps
- - modeldecompile
- - modellist
- - modelprecache
- - name
- - net_refresh
- - net_slist
- - net_slistqw
- - net_stats
- - nextul
- - noclip
- - notarget
- - packet
- - path
- - pause
- - pausedemo
- - ping
- - pingplreport
- - pings
- - play
- - play2
- - playdemo
- - playermodel
- - playerskin
- - playvideo
- - playvol
- - pmodel
- - pointfile
- - pqrcon
- - prespawn
- - prvm_breakpoint
- - prvm_callprofile
- - prvm_childprofile
- - prvm_edict
- - prvm_edictcount
- - prvm_edictget
- - prvm_edicts
- - prvm_edictset
- - prvm_edictwatchpoint
- - prvm_fields
- - prvm_global
- - prvm_globalget
- - prvm_globals
- - prvm_globalset
- - prvm_globalwatchpoint
- - prvm_printfunction
- - prvm_profile
- - r_editlights_clear
- - r_editlights_copyinfo
- - r_editlights_edit
- - r_editlights_editall
- - r_editlights_help
- - r_editlights_importlightentitiesfrommap
- - r_editlights_importlightsfile
- - r_editlights_lock
- - r_editlights_pasteinfo
- - r_editlights_reload
- - r_editlights_remove
- - r_editlights_save
- - r_editlights_spawn
- - r_editlights_togglecorona
- - r_editlights_toggleshadow
- - r_glsl_dumpshader
- - r_glsl_restart
- - r_listmaptextures
- - r_replacemaptexture
- - r_restart
- - r_texturestats
- - rate
- - rcon
- - reconnect
- - record
- - register_bestweapon
- - restart
- - save
- - saveconfig
- - say
- - say_team
- - screenshot
- - sendcvar
- - set
- - seta
- - setinfo
- - sizedown
- - sizeup
- - skins
- - snd_restart
- - snd_unloadallsounds
- - soundinfo
- - soundlist
- - spawn
- - srcon
- - startdemos
- - status
- - stop
- - stopdemo
- - stopdownload
- - stopsound
- - stopul
- - stopvideo
- - stuffcmds
- - sv_areastats
- - sv_cmd
- - sv_saveentfile
- - sv_startdownload
- - tell
- - timedemo
- - timerefresh
- - toggle
- - toggleconsole
- - togglemenu
- - topcolor
- - unalias
- - unbind
- - unbindall
- - unset
- - user
- - users
- - v_cshift
- - version
- - vid_restart
- - viewframe
- - viewmodel
- - viewnext
- - viewprev
- - wait
- - which
+ - alias
+ - apropos
+ - begin
+ - bestweapon
+ - bf
+ - bind
+ - bindlist
+ - bottomcolor
+ - cd
+ - centerview
+ - changelevel
+ - changing
+ - cl_areastats
+ - cl_begindownloads
+ - cl_cmd
+ - cl_downloadbegin
+ - cl_downloadfinished
+ - cl_modelindexlist
+ - cl_particles_reloadeffects
+ - cl_soundindexlist
+ - clear
+ - cmd
+ - cmdlist
+ - color
+ - commandmode
+ - condump
+ - connect
+ - cprint
+ - curl
+ - cvar_lockdefaults
+ - cvar_resettodefaults_all
+ - cvar_resettodefaults_nosaveonly
+ - cvar_resettodefaults_saveonly
+ - cvarlist
+ - defer
+ - demos
+ - dir
+ - disconnect
+ - download
+ - echo
+ - entities
+ - envmap
+ - exec
+ - fixtrans
+ - fly
+ - fog
+ - fog_heighttexture
+ - force_centerview
+ - fs_rescan
+ - fullinfo
+ - fullserverinfo
+ - gamedir
+ - give
+ - gl_texturemode
+ - gl_vbostats
+ - god
+ - heartbeat
+ - history
+ - impulse
+ - in_bind
+ - in_bindlist
+ - in_bindmap
+ - in_unbind
+ - infobar
+ - iplog_list
+ - kick
+ - kill
+ - kickban
+ - load
+ - loadconfig
+ - loadfont
+ - loadsky
+ - locs_add
+ - locs_clear
+ - locs_reload
+ - locs_removenearest
+ - locs_save
+ - ls
+ - map
+ - maps
+ - maxplayers
+ - memlist
+ - memstats
+ - menu_cmd
+ - menu_restart
+ - messagemode
+ - messagemode2
+ - mod_generatelightmaps
+ - modeldecompile
+ - modellist
+ - modelprecache
+ - name
+ - net_refresh
+ - net_slist
+ - net_slistqw
+ - net_stats
+ - nextul
+ - noclip
+ - notarget
+ - packet
+ - path
+ - pause
+ - pausedemo
+ - ping
+ - pingplreport
+ - pings
+ - play
+ - play2
+ - playdemo
+ - playermodel
+ - playerskin
+ - playvideo
+ - playvol
+ - pmodel
+ - pointfile
+ - pqrcon
+ - prespawn
+ - prvm_breakpoint
+ - prvm_callprofile
+ - prvm_childprofile
+ - prvm_edict
+ - prvm_edictcount
+ - prvm_edictget
+ - prvm_edicts
+ - prvm_edictset
+ - prvm_edictwatchpoint
+ - prvm_fields
+ - prvm_global
+ - prvm_globalget
+ - prvm_globals
+ - prvm_globalset
+ - prvm_globalwatchpoint
+ - prvm_printfunction
+ - prvm_profile
+ - r_editlights_clear
+ - r_editlights_copyinfo
+ - r_editlights_edit
+ - r_editlights_editall
+ - r_editlights_help
+ - r_editlights_importlightentitiesfrommap
+ - r_editlights_importlightsfile
+ - r_editlights_lock
+ - r_editlights_pasteinfo
+ - r_editlights_reload
+ - r_editlights_remove
+ - r_editlights_save
+ - r_editlights_spawn
+ - r_editlights_togglecorona
+ - r_editlights_toggleshadow
+ - r_glsl_dumpshader
+ - r_glsl_restart
+ - r_listmaptextures
+ - r_replacemaptexture
+ - r_restart
+ - r_texturestats
+ - rate
+ - rcon
+ - reconnect
+ - record
+ - register_bestweapon
+ - restart
+ - save
+ - saveconfig
+ - say
+ - say_team
+ - screenshot
+ - sendcvar
+ - set
+ - seta
+ - setinfo
+ - sizedown
+ - sizeup
+ - skins
+ - snd_restart
+ - snd_unloadallsounds
+ - soundinfo
+ - soundlist
+ - spawn
+ - srcon
+ - startdemos
+ - status
+ - stop
+ - stopdemo
+ - stopdownload
+ - stopsound
+ - stopul
+ - stopvideo
+ - stuffcmds
+ - sv_areastats
+ - sv_cmd
+ - sv_saveentfile
+ - sv_startdownload
+ - tell
+ - timedemo
+ - timerefresh
+ - toggle
+ - toggleconsole
+ - togglemenu
+ - topcolor
+ - unalias
+ - unbind
+ - unbindall
+ - unset
+ - user
+ - users
+ - v_cshift
+ - version
+ - vid_restart
+ - viewframe
+ - viewmodel
+ - viewnext
+ - viewprev
+ - wait
+ - which
- - accuracy_color0
- - accuracy_color1
- - accuracy_color2
- - accuracy_color_levels
- - ambient_fade
- - ambient_level
- - bgmvolume
- - bot_ai_aimskill_blendrate
- - bot_ai_aimskill_firetolerance_distdegrees
- - bot_ai_aimskill_firetolerance_maxdegrees
- - bot_ai_aimskill_firetolerance_mindegrees
- - bot_ai_aimskill_fixedrate
- - bot_ai_aimskill_mouse
- - bot_ai_aimskill_offset
- - bot_ai_aimskill_order_filter_1st
- - bot_ai_aimskill_order_filter_2nd
- - bot_ai_aimskill_order_filter_3th
- - bot_ai_aimskill_order_filter_4th
- - bot_ai_aimskill_order_filter_5th
- - bot_ai_aimskill_order_mix_1st
- - bot_ai_aimskill_order_mix_2nd
- - bot_ai_aimskill_order_mix_3th
- - bot_ai_aimskill_order_mix_4th
- - bot_ai_aimskill_order_mix_5th
- - bot_ai_aimskill_think
- - bot_ai_bunnyhop_firstjumpdelay
- - bot_ai_bunnyhop_skilloffset
- - bot_ai_bunnyhop_startdistance
- - bot_ai_bunnyhop_stopdistance
- - bot_ai_chooseweaponinterval
- - bot_ai_custom_weapon_priority_close
- - bot_ai_custom_weapon_priority_distances
- - bot_ai_custom_weapon_priority_far
- - bot_ai_custom_weapon_priority_mid
- - bot_ai_dangerdetectioninterval
- - bot_ai_dangerdetectionupdates
- - bot_ai_dodgeupdateinterval
- - bot_ai_enemydetectioninterval
- - bot_ai_enemydetectionradius
- - bot_ai_friends_aware_pickup_radius
- - bot_ai_ignoregoal_timeout
- - bot_ai_keyboard_distance
- - bot_ai_keyboard_threshold
- - bot_ai_navigation_jetpack
- - bot_ai_navigation_jetpack_mindistance
- - bot_ai_strategyinterval
- - bot_ai_thinkinterval
- - bot_ai_weapon_combo
- - bot_ai_weapon_combo_threshold
- - bot_config_file
- - bot_debug_goalstack
- - bot_debug_tracewalk
- - bot_god
- - bot_ignore_bots
- - bot_join_empty
- - bot_navigation_ignoreplayers
- - bot_nofire
- - bot_number
- - bot_prefix
- - bot_sound_monopoly
- - bot_suffix
- - bot_usemodelnames
- - bot_vs_human
- - bot_wander_enable
- - camera_chase_smoothly
- - camera_enable
- - camera_forward_follows
- - camera_free
- - camera_look_attenuation
- - camera_look_player
- - camera_mouse_threshold
- - camera_reset
- - camera_speed_attenuation
- - camera_speed_chase
- - camera_speed_free
- - camera_speed_roll
- - captureleadlimit_override
- - capturelimit_override
- - cdaudio
- - cdaudioinitialized
- - chase_active
- - chase_back
- - chase_overhead
- - chase_pitchangle
- - chase_stevie
- - chase_up
- - cl_accuracy_data_receive
- - cl_accuracy_data_share
- - cl_allow_uid2name
- - cl_allow_uidtracking
- - cl_anglespeedkey
- - cl_announcer
- - cl_announcer_antispam
- - cl_announcer_maptime
- - cl_autodemo
- - cl_autodemo_delete
- - cl_autodemo_delete_keeprecords
- - cl_autodemo_nameformat
- - cl_autoscreenshot
- - cl_autoswitch
- - cl_autotaunt
- - cl_backspeed
- - cl_beams_instantaimhack
- - cl_beams_lightatend
- - cl_beams_polygons
- - cl_beams_quakepositionhack
- - cl_bob
- - cl_bob2
- - cl_bob2cycle
- - cl_bob2smooth
- - cl_bobcycle
- - cl_bobfall
- - cl_bobfallcycle
- - cl_bobfallminspeed
- - cl_bobmodel
- - cl_bobmodel_side
- - cl_bobmodel_speed
- - cl_bobmodel_up
- - cl_bobup
- - cl_capturevideo
- - cl_capturevideo_demo_stop
- - cl_capturevideo_fps
- - cl_capturevideo_framestep
- - cl_capturevideo_height
- - cl_capturevideo_nameformat
- - cl_capturevideo_number
- - cl_capturevideo_ogg
- - cl_capturevideo_ogg_theora_bitrate
- - cl_capturevideo_ogg_theora_keyframe_auto_threshold
- - cl_capturevideo_ogg_theora_keyframe_bitrate_multiplier
- - cl_capturevideo_ogg_theora_keyframe_maxinterval
- - cl_capturevideo_ogg_theora_keyframe_mininterval
- - cl_capturevideo_ogg_theora_noise_sensitivity
- - cl_capturevideo_ogg_theora_quality
- - cl_capturevideo_ogg_theora_vp3compat
- - cl_capturevideo_ogg_vorbis_quality
- - cl_capturevideo_printfps
- - cl_capturevideo_realtime
- - cl_capturevideo_width
- - cl_casings
- - cl_casings_bronze_time
- - cl_casings_maxcount
- - cl_casings_shell_time
- - cl_casings_sloppy
- - cl_casings_ticrate
- - cl_clippedspectating
- - cl_csqc_generatemousemoveevents
- - cl_curl_enabled
- - cl_curl_maxdownloads
- - cl_curl_maxspeed
- - cl_curl_useragent
- - cl_curl_useragent_append
- - cl_damageeffect
- - cl_damageeffect_bones
- - cl_damageeffect_distribute
- - cl_damageeffect_lifetime
- - cl_damageeffect_lifetime_max
- - cl_damageeffect_lifetime_min
- - cl_damageeffect_ticrate
- - cl_db_saveasdump
- - cl_deathfade
- - cl_deathglow
- - cl_deathnoviewmodel
- - cl_deathscoreboard
- - cl_decals
- - cl_decals_bias
- - cl_decals_fadetime
- - cl_decals_max
- - cl_decals_models
- - cl_decals_newsystem
- - cl_decals_newsystem_bloodsmears
- - cl_decals_newsystem_immediatebloodstain
- - cl_decals_newsystem_intensitymultiplier
- - cl_decals_time
- - cl_decals_visculling
- - cl_demo_mousegrab
- - cl_dlights_decaybrightness
- - cl_dlights_decayradius
- - cl_dodging_timeout
- - cl_effects_lightningarc_branchfactor_add
- - cl_effects_lightningarc_branchfactor_start
- - cl_effects_lightningarc_drift_end
- - cl_effects_lightningarc_drift_start
- - cl_effects_lightningarc_segmentlength
- - cl_effects_lightningarc_simple
- - cl_eventchase_death
- - cl_eventchase_distance
- - cl_eventchase_maxs
- - cl_eventchase_mins
- - cl_eventchase_speed
- - cl_eventchase_viewoffset
- - cl_explosions_alpha_end
- - cl_explosions_alpha_start
- - cl_explosions_lifetime
- - cl_explosions_size_end
- - cl_explosions_size_start
- - cl_firststart
- - cl_followmodel
- - cl_followmodel_side_highpass
- - cl_followmodel_side_highpass1
- - cl_followmodel_side_limit
- - cl_followmodel_side_lowpass
- - cl_followmodel_side_speed
- - cl_followmodel_up_highpass
- - cl_followmodel_up_highpass1
- - cl_followmodel_up_limit
- - cl_followmodel_up_lowpass
- - cl_followmodel_up_speed
- - cl_forcemyplayercolors
- - cl_forcemyplayermodel
- - cl_forcemyplayerskin
- - cl_forceplayercolors
- - cl_forceplayermodels
- - cl_forwardspeed
- - cl_fullbright_items
- - cl_gameplayfix_soundsmovewithentities
- - cl_gentle
- - cl_gentle_damage
- - cl_gentle_gibs
- - cl_gentle_messages
- - cl_ghost_items
- - cl_ghost_items_color
- - cl_gibs_avelocity_scale
- - cl_gibs_damageforcescale
- - cl_gibs_lifetime
- - cl_gibs_maxcount
- - cl_gibs_sloppy
- - cl_gibs_ticrate
- - cl_gibs_velocity_random
- - cl_gibs_velocity_scale
- - cl_gibs_velocity_up
- - cl_gravity
- - cl_gunalign
- - cl_handicap
- - cl_hidewaypoints
- - cl_hitsound
- - cl_hitsound_antispam_time
- - cl_iplog_name
- - cl_itembobheight
- - cl_itembobspeed
- - cl_joinbeforedownloadsfinish
- - cl_leanmodel
- - cl_leanmodel_side_highpass
- - cl_leanmodel_side_highpass1
- - cl_leanmodel_side_limit
- - cl_leanmodel_side_lowpass
- - cl_leanmodel_side_speed
- - cl_leanmodel_up_highpass
- - cl_leanmodel_up_highpass1
- - cl_leanmodel_up_limit
- - cl_leanmodel_up_lowpass
- - cl_leanmodel_up_speed
- - cl_lerpanim_maxdelta_framegroups
- - cl_lerpanim_maxdelta_server
- - cl_lerpexcess
- - cl_lockview
- - cl_loddistance1
- - cl_loddistance2
- - cl_maxfps
- - cl_maxfps_alwayssleep
- - cl_maxidlefps
- - cl_maxphysicsframesperserverframe
- - cl_minfps
- - cl_minfps_fade
- - cl_minfps_force
- - cl_minfps_qualityhysteresis
- - cl_minfps_qualitymax
- - cl_minfps_qualitymin
- - cl_minfps_qualitymultiply
- - cl_minfps_qualitystepmax
- - cl_modeldetailreduction
- - cl_movecliptokeyboard
- - cl_movement
- - cl_movement_accelerate
- - cl_movement_airaccel_qw
- - cl_movement_airaccel_sideways_friction
- - cl_movement_airaccelerate
- - cl_movement_edgefriction
- - cl_movement_errorcompensation
- - cl_movement_friction
- - cl_movement_jumpvelocity
- - cl_movement_maxairspeed
- - cl_movement_maxspeed
- - cl_movement_minping
- - cl_movement_nettimeout
- - cl_movement_replay
- - cl_movement_stepheight
- - cl_movement_stopspeed
- - cl_movement_track_canjump
- - cl_movement_wallfriction
- - cl_movement_wateraccelerate
- - cl_movement_waterfriction
- - cl_movespeedkey
- - cl_netfps
- - cl_netimmediatebuttons
- - cl_netlocalping
- - cl_netpacketloss_receive
- - cl_netpacketloss_send
- - cl_netrepeatinput
- - cl_nettimesyncboundmode
- - cl_nettimesyncboundtolerance
- - cl_nettimesyncfactor
- - cl_noantilag
- - cl_nodelta
- - cl_nogibs
- - cl_nolerp
- - cl_noplayershadow
- - cl_particlegibs
- - cl_particles
- - cl_particles_alpha
- - cl_particles_blood
- - cl_particles_blood_alpha
- - cl_particles_blood_bloodhack
- - cl_particles_blood_decal_alpha
- - cl_particles_blood_decal_scalemax
- - cl_particles_blood_decal_scalemin
- - cl_particles_bubbles
- - cl_particles_bulletimpacts
- - cl_particles_collisions
- - cl_particles_explosions_shell
- - cl_particles_explosions_sparks
- - cl_particles_oldnexbeam
- - cl_particles_quake
- - cl_particles_quality
- - cl_particles_rain
- - cl_particles_size
- - cl_particles_smoke
- - cl_particles_smoke_alpha
- - cl_particles_smoke_alphafade
- - cl_particles_snow
- - cl_particles_sparks
- - cl_particles_visculling
- - cl_pitchspeed
- - cl_playerdetailreduction
- - cl_port
- - cl_precacheplayermodels
- - cl_projectiles_sloppy
- - cl_prydoncursor
- - cl_prydoncursor_notrace
- - cl_readpicture_force
- - cl_reticle
- - cl_reticle_item_nex
- - cl_reticle_item_normal
- - cl_reticle_stretch
- - cl_rollangle
- - cl_rollspeed
- - cl_sandbox_clipboard
- - cl_serverextension_download
- - cl_shownet
- - cl_sidespeed
- - cl_simple_items
- - cl_simpleitems_postfix
- - cl_smoothviewheight
- - cl_sound_hknighthit
- - cl_sound_r_exp3
- - cl_sound_ric1
- - cl_sound_ric2
- - cl_sound_ric3
- - cl_sound_ric_gunshot
- - cl_sound_tink1
- - cl_sound_wizardhit
- - cl_spawn_event_particles
- - cl_spawn_event_sound
- - cl_spawn_point_particles
- - cl_spawnzoom
- - cl_spawnzoom_factor
- - cl_spawnzoom_speed
- - cl_stainmaps
- - cl_stainmaps_clearonload
- - cl_stairsmoothspeed
- - cl_startcount
- - cl_stripcolorcodes
- - cl_unpress_attack_on_weapon_switch
- - cl_unpress_zoom_on_death
- - cl_unpress_zoom_on_spawn
- - cl_unpress_zoom_on_weapon_switch
- - cl_upspeed
- - cl_vehicle_spiderbot_cross_alpha
- - cl_vehicle_spiderbot_cross_size
- - cl_vehicles_hud_tactical
- - cl_vehicles_hudalpha
- - cl_vehicles_hudscale
- - cl_velocityzoom
- - cl_velocityzoom_speed
- - cl_velocityzoom_time
- - cl_velocityzoom_type
- - cl_video_brightness
- - cl_video_fadein
- - cl_video_fadeout
- - cl_video_keepaspectratio
- - cl_video_scale
- - cl_video_scale_vpos
- - cl_video_stipple
- - cl_video_subtitles
- - cl_video_subtitles_lines
- - cl_video_subtitles_textsize
- - cl_viewmodel_scale
- - cl_voice_directional
- - cl_voice_directional_taunt_attenuation
- - cl_warpzone_usetrace
- - cl_weapon_stay_alpha
- - cl_weapon_stay_color
- - cl_weaponimpulsemode
- - cl_weaponpriority
- - cl_weaponpriority0
- - cl_weaponpriority1
- - cl_weaponpriority2
- - cl_weaponpriority3
- - cl_weaponpriority4
- - cl_weaponpriority5
- - cl_weaponpriority6
- - cl_weaponpriority7
- - cl_weaponpriority8
- - cl_weaponpriority9
- - cl_weaponpriority_useforcycling
- - cl_worldbasename
- - cl_worldmessage
- - cl_worldname
- - cl_worldnamenoextension
- - cl_yawspeed
- - cl_zoomfactor
- - cl_zoomsensitivity
- - cl_zoomspeed
- - cmdline
- - collision_cache
- - collision_debug_tracelineasbox
- - collision_endnudge
- - collision_endposnudge
- - collision_enternudge
- - collision_impactnudge
- - collision_leavenudge
- - collision_prefernudgedfraction
- - collision_startnudge
- - collision_triangle_axialsides
- - collision_triangle_bevelsides
- - con_chat
- - con_chatpos
- - con_chatrect
- - con_chatrect_x
- - con_chatrect_y
- - con_chatsize
- - con_chatsound
- - con_chattime
- - con_chatwidth
- - con_closeontoggleconsole
- - con_completion_chmap
- - con_completion_devmap
- - con_completion_exec
- - con_completion_gotomap
- - con_completion_playdemo
- - con_completion_playermodel
- - con_completion_ply
- - con_completion_tdem
- - con_completion_timedemo
- - con_completion_vdomap
- - con_completion_vmap
- - con_completion_vnextmap
- - con_nickcompletion
- - con_nickcompletion_flags
- - con_notify
- - con_notifyalign
- - con_notifysize
- - con_notifytime
- - con_textsize
- - coop
- - crosshair
- - crosshair_alpha
- - crosshair_color
- - crosshair_color_alpha
- - crosshair_color_blue
- - crosshair_color_green
- - crosshair_color_red
- - crosshair_color_special
- - crosshair_color_special_rainbow_brightness
- - crosshair_color_special_rainbow_delay
- - crosshair_crylink
- - crosshair_crylink_alpha
- - crosshair_crylink_color
- - crosshair_crylink_size
- - crosshair_dot
- - crosshair_dot_alpha
- - crosshair_dot_color
- - crosshair_dot_color_custom
- - crosshair_dot_size
- - crosshair_effect_scalefade
- - crosshair_effect_time
- - crosshair_electro
- - crosshair_electro_alpha
- - crosshair_electro_color
- - crosshair_electro_size
- - crosshair_enabled
- - crosshair_fireball
- - crosshair_fireball_alpha
- - crosshair_fireball_color
- - crosshair_fireball_size
- - crosshair_grenadelauncher
- - crosshair_grenadelauncher_alpha
- - crosshair_grenadelauncher_color
- - crosshair_grenadelauncher_size
- - crosshair_hagar
- - crosshair_hagar_alpha
- - crosshair_hagar_color
- - crosshair_hagar_size
- - crosshair_hitindication
- - crosshair_hitindication_color
- - crosshair_hitindication_per_weapon_color
- - crosshair_hitindication_speed
- - crosshair_hittest
- - crosshair_hittest_blur
- - crosshair_hittest_showimpact
- - crosshair_hlac
- - crosshair_hlac_alpha
- - crosshair_hlac_color
- - crosshair_hlac_size
- - crosshair_hook
- - crosshair_hook_alpha
- - crosshair_hook_color
- - crosshair_hook_size
- - crosshair_laser
- - crosshair_laser_alpha
- - crosshair_laser_color
- - crosshair_laser_size
- - crosshair_minelayer
- - crosshair_minelayer_alpha
- - crosshair_minelayer_color
- - crosshair_minelayer_size
- - crosshair_minstanex
- - crosshair_minstanex_alpha
- - crosshair_minstanex_color
- - crosshair_minstanex_size
- - crosshair_nex
- - crosshair_nex_alpha
- - crosshair_nex_color
- - crosshair_nex_size
- - crosshair_per_weapon
- - crosshair_pickup
- - crosshair_pickup_speed
- - crosshair_porto
- - crosshair_porto_alpha
- - crosshair_porto_color
- - crosshair_porto_size
- - crosshair_rifle
- - crosshair_rifle_alpha
- - crosshair_rifle_color
- - crosshair_rifle_size
- - crosshair_ring
- - crosshair_ring_alpha
- - crosshair_ring_hagar
- - crosshair_ring_hagar_alpha
- - crosshair_ring_inner
- - crosshair_ring_minelayer
- - crosshair_ring_minelayer_alpha
- - crosshair_ring_nex
- - crosshair_ring_nex_alpha
- - crosshair_ring_nex_currentcharge_movingavg_rate
- - crosshair_ring_nex_currentcharge_scale
- - crosshair_ring_nex_inner_alpha
- - crosshair_ring_nex_inner_color_blue
- - crosshair_ring_nex_inner_color_green
- - crosshair_ring_nex_inner_color_red
- - crosshair_ring_reload
- - crosshair_ring_reload_alpha
- - crosshair_ring_reload_size
- - crosshair_ring_size
- - crosshair_rocketlauncher
- - crosshair_rocketlauncher_alpha
- - crosshair_rocketlauncher_color
- - crosshair_rocketlauncher_size
- - crosshair_seeker
- - crosshair_seeker_alpha
- - crosshair_seeker_color
- - crosshair_seeker_size
- - crosshair_shotgun
- - crosshair_shotgun_alpha
- - crosshair_shotgun_color
- - crosshair_shotgun_size
- - crosshair_size
- - crosshair_tuba
- - crosshair_tuba_alpha
- - crosshair_tuba_color
- - crosshair_tuba_size
- - crosshair_uzi
- - crosshair_uzi_alpha
- - crosshair_uzi_color
- - crosshair_uzi_size
- - csqc_progcrc
- - csqc_progname
- - csqc_progsize
- - csqc_usedemoprogs
- - cutscene
- - deathmatch
- - developer
- - developer_csqcentities
- - developer_entityparsing
- - developer_extra
- - developer_font
- - developer_fteqccbugs
- - developer_insane
- - developer_loadfile
- - developer_loading
- - developer_memory
- - developer_memorydebug
- - developer_networkentities
- - developer_networking
- - developer_texturelogging
- - edgefriction
- - ekg
- - forceqmenu
- - fov
- - fraglimit
- - fraglimit_override
- - freelook
- - fs_empty_files_in_pack_mark_deletions
- - fs_gamedir
- - find
- - g_allow_oldnexbeam
- - g_antilag
- - g_antilag_bullets
- - g_antilag_nudge
- - g_arena
- - g_arena_maxspawned
- - g_arena_point_leadlimit
- - g_arena_point_limit
- - g_arena_respawn_delay
- - g_arena_respawn_waves
- - g_arena_round_timelimit
- - g_arena_roundbased
- - g_arena_warmup
- - g_arena_weapon_stay
- - g_as_respawn_delay
- - g_as_respawn_waves
- - g_as_weapon_stay
- - g_assault
- - g_balance_armor_blockpercent
- - g_balance_armor_limit
- - g_balance_armor_regen
- - g_balance_armor_regenlinear
- - g_balance_armor_regenstable
- - g_balance_armor_rot
- - g_balance_armor_rotlinear
- - g_balance_armor_rotstable
- - g_balance_armor_start
- - g_balance_cloaked_alpha
- - g_balance_contents_damagerate
- - g_balance_contents_drowndelay
- - g_balance_contents_playerdamage_drowning
- - g_balance_contents_playerdamage_lava
- - g_balance_contents_playerdamage_slime
- - g_balance_contents_projectiledamage
- - g_balance_crylink_primary_ammo
- - g_balance_crylink_primary_animtime
- - g_balance_crylink_primary_bouncedamagefactor
- - g_balance_crylink_primary_bounces
- - g_balance_crylink_primary_damage
- - g_balance_crylink_primary_edgedamage
- - g_balance_crylink_primary_force
- - g_balance_crylink_primary_joindelay
- - g_balance_crylink_primary_joinexplode
- - g_balance_crylink_primary_joinexplode_damage
- - g_balance_crylink_primary_joinexplode_edgedamage
- - g_balance_crylink_primary_joinexplode_force
- - g_balance_crylink_primary_joinexplode_radius
- - g_balance_crylink_primary_joinspread
- - g_balance_crylink_primary_jointime
- - g_balance_crylink_primary_linkexplode
- - g_balance_crylink_primary_middle_fadetime
- - g_balance_crylink_primary_middle_lifetime
- - g_balance_crylink_primary_other_fadetime
- - g_balance_crylink_primary_other_lifetime
- - g_balance_crylink_primary_radius
- - g_balance_crylink_primary_refire
- - g_balance_crylink_primary_shots
- - g_balance_crylink_primary_speed
- - g_balance_crylink_primary_spread
- - g_balance_crylink_reload_ammo
- - g_balance_crylink_reload_time
- - g_balance_crylink_secondary
- - g_balance_crylink_secondary_ammo
- - g_balance_crylink_secondary_animtime
- - g_balance_crylink_secondary_bouncedamagefactor
- - g_balance_crylink_secondary_bounces
- - g_balance_crylink_secondary_damage
- - g_balance_crylink_secondary_edgedamage
- - g_balance_crylink_secondary_force
- - g_balance_crylink_secondary_joindelay
- - g_balance_crylink_secondary_joinexplode
- - g_balance_crylink_secondary_joinexplode_damage
- - g_balance_crylink_secondary_joinexplode_edgedamage
- - g_balance_crylink_secondary_joinexplode_force
- - g_balance_crylink_secondary_joinexplode_radius
- - g_balance_crylink_secondary_joinspread
- - g_balance_crylink_secondary_jointime
- - g_balance_crylink_secondary_line_fadetime
- - g_balance_crylink_secondary_line_lifetime
- - g_balance_crylink_secondary_linkexplode
- - g_balance_crylink_secondary_middle_fadetime
- - g_balance_crylink_secondary_middle_lifetime
- - g_balance_crylink_secondary_radius
- - g_balance_crylink_secondary_refire
- - g_balance_crylink_secondary_shots
- - g_balance_crylink_secondary_speed
- - g_balance_crylink_secondary_spread
- - g_balance_crylink_secondary_spreadtype
- - g_balance_crylink_switchdelay_drop
- - g_balance_crylink_switchdelay_raise
- - g_balance_damagepush_speedfactor
- - g_balance_electro_combo_comboradius
- - g_balance_electro_combo_damage
- - g_balance_electro_combo_edgedamage
- - g_balance_electro_combo_force
- - g_balance_electro_combo_radius
- - g_balance_electro_combo_safeammocheck
- - g_balance_electro_combo_speed
- - g_balance_electro_lightning
- - g_balance_electro_primary_ammo
- - g_balance_electro_primary_animtime
- - g_balance_electro_primary_comboradius
- - g_balance_electro_primary_damage
- - g_balance_electro_primary_edgedamage
- - g_balance_electro_primary_falloff_halflifedist
- - g_balance_electro_primary_falloff_maxdist
- - g_balance_electro_primary_falloff_mindist
- - g_balance_electro_primary_force
- - g_balance_electro_primary_force_up
- - g_balance_electro_primary_lifetime
- - g_balance_electro_primary_radius
- - g_balance_electro_primary_range
- - g_balance_electro_primary_refire
- - g_balance_electro_primary_speed
- - g_balance_electro_primary_spread
- - g_balance_electro_reload_ammo
- - g_balance_electro_reload_time
- - g_balance_electro_secondary_ammo
- - g_balance_electro_secondary_animtime
- - g_balance_electro_secondary_bouncefactor
- - g_balance_electro_secondary_bouncestop
- - g_balance_electro_secondary_count
- - g_balance_electro_secondary_damage
- - g_balance_electro_secondary_damagedbycontents
- - g_balance_electro_secondary_damageforcescale
- - g_balance_electro_secondary_edgedamage
- - g_balance_electro_secondary_force
- - g_balance_electro_secondary_health
- - g_balance_electro_secondary_lifetime
- - g_balance_electro_secondary_radius
- - g_balance_electro_secondary_refire
- - g_balance_electro_secondary_refire2
- - g_balance_electro_secondary_speed
- - g_balance_electro_secondary_speed_up
- - g_balance_electro_secondary_speed_z
- - g_balance_electro_secondary_spread
- - g_balance_electro_switchdelay_drop
- - g_balance_electro_switchdelay_raise
- - g_balance_falldamage_deadminspeed
- - g_balance_falldamage_factor
- - g_balance_falldamage_maxdamage
- - g_balance_falldamage_minspeed
- - g_balance_fireball_primary_animtime
- - g_balance_fireball_primary_bfgdamage
- - g_balance_fireball_primary_bfgforce
- - g_balance_fireball_primary_bfgradius
- - g_balance_fireball_primary_damage
- - g_balance_fireball_primary_damageforcescale
- - g_balance_fireball_primary_edgedamage
- - g_balance_fireball_primary_force
- - g_balance_fireball_primary_health
- - g_balance_fireball_primary_laserburntime
- - g_balance_fireball_primary_laserdamage
- - g_balance_fireball_primary_laseredgedamage
- - g_balance_fireball_primary_laserradius
- - g_balance_fireball_primary_lifetime
- - g_balance_fireball_primary_radius
- - g_balance_fireball_primary_refire
- - g_balance_fireball_primary_refire2
- - g_balance_fireball_primary_speed
- - g_balance_fireball_primary_spread
- - g_balance_fireball_secondary_animtime
- - g_balance_fireball_secondary_damage
- - g_balance_fireball_secondary_damageforcescale
- - g_balance_fireball_secondary_damagetime
- - g_balance_fireball_secondary_force
- - g_balance_fireball_secondary_laserburntime
- - g_balance_fireball_secondary_laserdamage
- - g_balance_fireball_secondary_laseredgedamage
- - g_balance_fireball_secondary_laserradius
- - g_balance_fireball_secondary_lifetime
- - g_balance_fireball_secondary_refire
- - g_balance_fireball_secondary_speed
- - g_balance_fireball_secondary_speed_up
- - g_balance_fireball_secondary_speed_z
- - g_balance_fireball_secondary_spread
- - g_balance_fireball_switchdelay_drop
- - g_balance_fireball_switchdelay_raise
- - g_balance_firetransfer_damage
- - g_balance_firetransfer_time
- - g_balance_fuel_limit
- - g_balance_fuel_regen
- - g_balance_fuel_regenlinear
- - g_balance_fuel_regenstable
- - g_balance_fuel_rot
- - g_balance_fuel_rotlinear
- - g_balance_fuel_rotstable
- - g_balance_grapplehook_airfriction
- - g_balance_grapplehook_damagedbycontents
- - g_balance_grapplehook_force_rubber
- - g_balance_grapplehook_force_rubber_overstretch
- - g_balance_grapplehook_health
- - g_balance_grapplehook_length_min
- - g_balance_grapplehook_speed_fly
- - g_balance_grapplehook_speed_pull
- - g_balance_grapplehook_stretch
- - g_balance_grenadelauncher_bouncefactor
- - g_balance_grenadelauncher_bouncestop
- - g_balance_grenadelauncher_primary_ammo
- - g_balance_grenadelauncher_primary_animtime
- - g_balance_grenadelauncher_primary_damage
- - g_balance_grenadelauncher_primary_damageforcescale
- - g_balance_grenadelauncher_primary_edgedamage
- - g_balance_grenadelauncher_primary_force
- - g_balance_grenadelauncher_primary_health
- - g_balance_grenadelauncher_primary_lifetime
- - g_balance_grenadelauncher_primary_lifetime2
- - g_balance_grenadelauncher_primary_radius
- - g_balance_grenadelauncher_primary_refire
- - g_balance_grenadelauncher_primary_remote_minbouncecnt
- - g_balance_grenadelauncher_primary_speed
- - g_balance_grenadelauncher_primary_speed_up
- - g_balance_grenadelauncher_primary_speed_z
- - g_balance_grenadelauncher_primary_spread
- - g_balance_grenadelauncher_primary_type
- - g_balance_grenadelauncher_reload_ammo
- - g_balance_grenadelauncher_reload_time
- - g_balance_grenadelauncher_secondary_ammo
- - g_balance_grenadelauncher_secondary_animtime
- - g_balance_grenadelauncher_secondary_damage
- - g_balance_grenadelauncher_secondary_damageforcescale
- - g_balance_grenadelauncher_secondary_edgedamage
- - g_balance_grenadelauncher_secondary_force
- - g_balance_grenadelauncher_secondary_health
- - g_balance_grenadelauncher_secondary_lifetime
- - g_balance_grenadelauncher_secondary_lifetime_bounce
- - g_balance_grenadelauncher_secondary_lifetime_stick
- - g_balance_grenadelauncher_secondary_radius
- - g_balance_grenadelauncher_secondary_refire
- - g_balance_grenadelauncher_secondary_remote_detonateprimary
- - g_balance_grenadelauncher_secondary_speed
- - g_balance_grenadelauncher_secondary_speed_up
- - g_balance_grenadelauncher_secondary_speed_z
- - g_balance_grenadelauncher_secondary_spread
- - g_balance_grenadelauncher_secondary_type
- - g_balance_grenadelauncher_switchdelay_drop
- - g_balance_grenadelauncher_switchdelay_raise
- - g_balance_hagar_primary_ammo
- - g_balance_hagar_primary_damage
- - g_balance_hagar_primary_damageforcescale
- - g_balance_hagar_primary_edgedamage
- - g_balance_hagar_primary_force
- - g_balance_hagar_primary_health
- - g_balance_hagar_primary_lifetime
- - g_balance_hagar_primary_radius
- - g_balance_hagar_primary_refire
- - g_balance_hagar_primary_speed
- - g_balance_hagar_primary_spread
- - g_balance_hagar_reload_ammo
- - g_balance_hagar_reload_time
- - g_balance_hagar_secondary
- - g_balance_hagar_secondary_ammo
- - g_balance_hagar_secondary_damage
- - g_balance_hagar_secondary_damageforcescale
- - g_balance_hagar_secondary_edgedamage
- - g_balance_hagar_secondary_force
- - g_balance_hagar_secondary_health
- - g_balance_hagar_secondary_lifetime_min
- - g_balance_hagar_secondary_lifetime_rand
- - g_balance_hagar_secondary_load
- - g_balance_hagar_secondary_load_abort
- - g_balance_hagar_secondary_load_animtime
- - g_balance_hagar_secondary_load_hold
- - g_balance_hagar_secondary_load_linkexplode
- - g_balance_hagar_secondary_load_max
- - g_balance_hagar_secondary_load_releasedeath
- - g_balance_hagar_secondary_load_speed
- - g_balance_hagar_secondary_load_spread
- - g_balance_hagar_secondary_load_spread_bias
- - g_balance_hagar_secondary_radius
- - g_balance_hagar_secondary_refire
- - g_balance_hagar_secondary_speed
- - g_balance_hagar_secondary_spread
- - g_balance_hagar_switchdelay_drop
- - g_balance_hagar_switchdelay_raise
- - g_balance_health_limit
- - g_balance_health_regen
- - g_balance_health_regenlinear
- - g_balance_health_regenstable
- - g_balance_health_rot
- - g_balance_health_rotlinear
- - g_balance_health_rotstable
- - g_balance_health_start
- - g_balance_hlac_primary_ammo
- - g_balance_hlac_primary_animtime
- - g_balance_hlac_primary_damage
- - g_balance_hlac_primary_edgedamage
- - g_balance_hlac_primary_force
- - g_balance_hlac_primary_lifetime
- - g_balance_hlac_primary_radius
- - g_balance_hlac_primary_refire
- - g_balance_hlac_primary_speed
- - g_balance_hlac_primary_spread_add
- - g_balance_hlac_primary_spread_crouchmod
- - g_balance_hlac_primary_spread_max
- - g_balance_hlac_primary_spread_min
- - g_balance_hlac_reload_ammo
- - g_balance_hlac_reload_time
- - g_balance_hlac_secondary
- - g_balance_hlac_secondary_ammo
- - g_balance_hlac_secondary_animtime
- - g_balance_hlac_secondary_damage
- - g_balance_hlac_secondary_edgedamage
- - g_balance_hlac_secondary_force
- - g_balance_hlac_secondary_lifetime
- - g_balance_hlac_secondary_radius
- - g_balance_hlac_secondary_refire
- - g_balance_hlac_secondary_shots
- - g_balance_hlac_secondary_speed
- - g_balance_hlac_secondary_spread
- - g_balance_hlac_secondary_spread_crouchmod
- - g_balance_hlac_switchdelay_drop
- - g_balance_hlac_switchdelay_raise
- - g_balance_hook_primary_animtime
- - g_balance_hook_primary_fuel
- - g_balance_hook_primary_hooked_fuel
- - g_balance_hook_primary_hooked_time_free
- - g_balance_hook_primary_hooked_time_max
- - g_balance_hook_primary_refire
- - g_balance_hook_secondary_ammo
- - g_balance_hook_secondary_animtime
- - g_balance_hook_secondary_damage
- - g_balance_hook_secondary_damageforcescale
- - g_balance_hook_secondary_duration
- - g_balance_hook_secondary_edgedamage
- - g_balance_hook_secondary_force
- - g_balance_hook_secondary_gravity
- - g_balance_hook_secondary_health
- - g_balance_hook_secondary_lifetime
- - g_balance_hook_secondary_power
- - g_balance_hook_secondary_radius
- - g_balance_hook_secondary_refire
- - g_balance_hook_secondary_speed
- - g_balance_hook_switchdelay_drop
- - g_balance_hook_switchdelay_raise
- - g_balance_keyhunt_damageforcescale
- - g_balance_keyhunt_delay_collect
- - g_balance_keyhunt_delay_fadeout
- - g_balance_keyhunt_delay_return
- - g_balance_keyhunt_delay_round
- - g_balance_keyhunt_delay_tracking
- - g_balance_keyhunt_dropvelocity
- - g_balance_keyhunt_maxdist
- - g_balance_keyhunt_protecttime
- - g_balance_keyhunt_score_capture
- - g_balance_keyhunt_score_carrierfrag
- - g_balance_keyhunt_score_collect
- - g_balance_keyhunt_score_destroyed
- - g_balance_keyhunt_score_destroyed_ownfactor
- - g_balance_keyhunt_score_push
- - g_balance_keyhunt_throwvelocity
- - g_balance_kill_antispam
- - g_balance_kill_delay
- - g_balance_laser_primary_animtime
- - g_balance_laser_primary_damage
- - g_balance_laser_primary_delay
- - g_balance_laser_primary_edgedamage
- - g_balance_laser_primary_force
- - g_balance_laser_primary_force_other_scale
- - g_balance_laser_primary_force_velocitybias
- - g_balance_laser_primary_force_zscale
- - g_balance_laser_primary_gauntlet
- - g_balance_laser_primary_lifetime
- - g_balance_laser_primary_radius
- - g_balance_laser_primary_refire
- - g_balance_laser_primary_shotangle
- - g_balance_laser_primary_speed
- - g_balance_laser_primary_spread
- - g_balance_laser_reload_ammo
- - g_balance_laser_reload_time
- - g_balance_laser_secondary
- - g_balance_laser_secondary_animtime
- - g_balance_laser_secondary_damage
- - g_balance_laser_secondary_delay
- - g_balance_laser_secondary_edgedamage
- - g_balance_laser_secondary_force
- - g_balance_laser_secondary_force_other_scale
- - g_balance_laser_secondary_force_velocitybias
- - g_balance_laser_secondary_force_zscale
- - g_balance_laser_secondary_gauntlet
- - g_balance_laser_secondary_lifetime
- - g_balance_laser_secondary_radius
- - g_balance_laser_secondary_refire
- - g_balance_laser_secondary_shotangle
- - g_balance_laser_secondary_speed
- - g_balance_laser_secondary_spread
- - g_balance_laser_switchdelay_drop
- - g_balance_laser_switchdelay_raise
- - g_balance_minelayer_ammo
- - g_balance_minelayer_animtime
- - g_balance_minelayer_damage
- - g_balance_minelayer_damageforcescale
- - g_balance_minelayer_detonatedelay
- - g_balance_minelayer_edgedamage
- - g_balance_minelayer_force
- - g_balance_minelayer_health
- - g_balance_minelayer_lifetime
- - g_balance_minelayer_lifetime_countdown
- - g_balance_minelayer_limit
- - g_balance_minelayer_protection
- - g_balance_minelayer_proximityradius
- - g_balance_minelayer_radius
- - g_balance_minelayer_refire
- - g_balance_minelayer_reload_ammo
- - g_balance_minelayer_reload_time
- - g_balance_minelayer_remote_damage
- - g_balance_minelayer_remote_edgedamage
- - g_balance_minelayer_remote_force
- - g_balance_minelayer_remote_radius
- - g_balance_minelayer_speed
- - g_balance_minelayer_switchdelay_drop
- - g_balance_minelayer_switchdelay_raise
- - g_balance_minelayer_time
- - g_balance_minstanex_ammo
- - g_balance_minstanex_animtime
- - g_balance_minstanex_laser_ammo
- - g_balance_minstanex_laser_animtime
- - g_balance_minstanex_laser_refire
- - g_balance_minstanex_refire
- - g_balance_minstanex_reload_ammo
- - g_balance_minstanex_reload_time
- - g_balance_minstanex_switchdelay_drop
- - g_balance_minstanex_switchdelay_raise
- - g_balance_nex_charge
- - g_balance_nex_charge_animlimit
- - g_balance_nex_charge_limit
- - g_balance_nex_charge_maxspeed
- - g_balance_nex_charge_mindmg
- - g_balance_nex_charge_minspeed
- - g_balance_nex_charge_rate
- - g_balance_nex_charge_rot_pause
- - g_balance_nex_charge_rot_rate
- - g_balance_nex_charge_shot_multiplier
- - g_balance_nex_charge_start
- - g_balance_nex_charge_velocity_rate
- - g_balance_nex_primary_ammo
- - g_balance_nex_primary_animtime
- - g_balance_nex_primary_damage
- - g_balance_nex_primary_damagefalloff_forcehalflife
- - g_balance_nex_primary_damagefalloff_halflife
- - g_balance_nex_primary_damagefalloff_maxdist
- - g_balance_nex_primary_damagefalloff_mindist
- - g_balance_nex_primary_force
- - g_balance_nex_primary_refire
- - g_balance_nex_reload_ammo
- - g_balance_nex_reload_time
- - g_balance_nex_secondary
- - g_balance_nex_secondary_ammo
- - g_balance_nex_secondary_animtime
- - g_balance_nex_secondary_charge
- - g_balance_nex_secondary_charge_rate
- - g_balance_nex_secondary_chargepool
- - g_balance_nex_secondary_chargepool_pause_health_regen
- - g_balance_nex_secondary_chargepool_pause_regen
- - g_balance_nex_secondary_chargepool_regen
- - g_balance_nex_secondary_damage
- - g_balance_nex_secondary_damagefalloff_forcehalflife
- - g_balance_nex_secondary_damagefalloff_halflife
- - g_balance_nex_secondary_damagefalloff_maxdist
- - g_balance_nex_secondary_damagefalloff_mindist
- - g_balance_nex_secondary_force
- - g_balance_nex_secondary_refire
- - g_balance_nex_switchdelay_drop
- - g_balance_nex_switchdelay_raise
- - g_balance_nexball_primary_animtime
- - g_balance_nexball_primary_refire
- - g_balance_nexball_primary_speed
- - g_balance_nexball_secondary_animtime
- - g_balance_nexball_secondary_force
- - g_balance_nexball_secondary_lifetime
- - g_balance_nexball_secondary_refire
- - g_balance_nexball_secondary_speed
- - g_balance_nix_ammo_cells
- - g_balance_nix_ammo_fuel
- - g_balance_nix_ammo_nails
- - g_balance_nix_ammo_rockets
- - g_balance_nix_ammo_shells
- - g_balance_nix_ammoincr_cells
- - g_balance_nix_ammoincr_fuel
- - g_balance_nix_ammoincr_nails
- - g_balance_nix_ammoincr_rockets
- - g_balance_nix_ammoincr_shells
- - g_balance_nix_incrtime
- - g_balance_nix_roundtime
- - g_balance_pause_armor_rot
- - g_balance_pause_armor_rot_spawn
- - g_balance_pause_fuel_regen
- - g_balance_pause_fuel_rot
- - g_balance_pause_fuel_rot_spawn
- - g_balance_pause_health_regen
- - g_balance_pause_health_regen_spawn
- - g_balance_pause_health_rot
- - g_balance_pause_health_rot_spawn
- - g_balance_portal_health
- - g_balance_portal_lifetime
- - g_balance_porto_primary_animtime
- - g_balance_porto_primary_lifetime
- - g_balance_porto_primary_refire
- - g_balance_porto_primary_speed
- - g_balance_porto_secondary
- - g_balance_porto_secondary_animtime
- - g_balance_porto_secondary_lifetime
- - g_balance_porto_secondary_refire
- - g_balance_porto_secondary_speed
- - g_balance_porto_switchdelay_drop
- - g_balance_porto_switchdelay_raise
- - g_balance_powerup_invincible_takedamage
- - g_balance_powerup_invincible_time
- - g_balance_powerup_strength_damage
- - g_balance_powerup_strength_force
- - g_balance_powerup_strength_selfdamage
- - g_balance_powerup_strength_selfforce
- - g_balance_powerup_strength_time
- - g_balance_rifle_bursttime
- - g_balance_rifle_primary_ammo
- - g_balance_rifle_primary_animtime
- - g_balance_rifle_primary_bulletconstant
- - g_balance_rifle_primary_bullethail
- - g_balance_rifle_primary_burstcost
- - g_balance_rifle_primary_damage
- - g_balance_rifle_primary_force
- - g_balance_rifle_primary_lifetime
- - g_balance_rifle_primary_refire
- - g_balance_rifle_primary_shots
- - g_balance_rifle_primary_speed
- - g_balance_rifle_primary_spread
- - g_balance_rifle_primary_tracer
- - g_balance_rifle_reload_ammo
- - g_balance_rifle_reload_time
- - g_balance_rifle_secondary
- - g_balance_rifle_secondary_ammo
- - g_balance_rifle_secondary_animtime
- - g_balance_rifle_secondary_bulletconstant
- - g_balance_rifle_secondary_bullethail
- - g_balance_rifle_secondary_burstcost
- - g_balance_rifle_secondary_damage
- - g_balance_rifle_secondary_force
- - g_balance_rifle_secondary_lifetime
- - g_balance_rifle_secondary_refire
- - g_balance_rifle_secondary_reload
- - g_balance_rifle_secondary_shots
- - g_balance_rifle_secondary_speed
- - g_balance_rifle_secondary_spread
- - g_balance_rifle_secondary_tracer
- - g_balance_rifle_switchdelay_drop
- - g_balance_rifle_switchdelay_raise
- - g_balance_rocketlauncher_ammo
- - g_balance_rocketlauncher_animtime
- - g_balance_rocketlauncher_damage
- - g_balance_rocketlauncher_damageforcescale
- - g_balance_rocketlauncher_detonatedelay
- - g_balance_rocketlauncher_edgedamage
- - g_balance_rocketlauncher_force
- - g_balance_rocketlauncher_guidedelay
- - g_balance_rocketlauncher_guidegoal
- - g_balance_rocketlauncher_guiderate
- - g_balance_rocketlauncher_guideratedelay
- - g_balance_rocketlauncher_guidestop
- - g_balance_rocketlauncher_health
- - g_balance_rocketlauncher_lifetime
- - g_balance_rocketlauncher_radius
- - g_balance_rocketlauncher_refire
- - g_balance_rocketlauncher_reload_ammo
- - g_balance_rocketlauncher_reload_time
- - g_balance_rocketlauncher_remote_damage
- - g_balance_rocketlauncher_remote_edgedamage
- - g_balance_rocketlauncher_remote_force
- - g_balance_rocketlauncher_remote_radius
- - g_balance_rocketlauncher_speed
- - g_balance_rocketlauncher_speedaccel
- - g_balance_rocketlauncher_speedstart
- - g_balance_rocketlauncher_switchdelay_drop
- - g_balance_rocketlauncher_switchdelay_raise
- - g_balance_seeker_flac_ammo
- - g_balance_seeker_flac_animtime
- - g_balance_seeker_flac_damage
- - g_balance_seeker_flac_edgedamage
- - g_balance_seeker_flac_force
- - g_balance_seeker_flac_lifetime
- - g_balance_seeker_flac_lifetime_rand
- - g_balance_seeker_flac_radius
- - g_balance_seeker_flac_refire
- - g_balance_seeker_flac_speed
- - g_balance_seeker_flac_speed_up
- - g_balance_seeker_flac_speed_z
- - g_balance_seeker_flac_spread
- - g_balance_seeker_missile_accel
- - g_balance_seeker_missile_ammo
- - g_balance_seeker_missile_animtime
- - g_balance_seeker_missile_count
- - g_balance_seeker_missile_damage
- - g_balance_seeker_missile_damageforcescale
- - g_balance_seeker_missile_decel
- - g_balance_seeker_missile_delay
- - g_balance_seeker_missile_edgedamage
- - g_balance_seeker_missile_force
- - g_balance_seeker_missile_health
- - g_balance_seeker_missile_lifetime
- - g_balance_seeker_missile_proxy
- - g_balance_seeker_missile_proxy_delay
- - g_balance_seeker_missile_proxy_maxrange
- - g_balance_seeker_missile_radius
- - g_balance_seeker_missile_refire
- - g_balance_seeker_missile_smart
- - g_balance_seeker_missile_smart_mindist
- - g_balance_seeker_missile_smart_trace_max
- - g_balance_seeker_missile_smart_trace_min
- - g_balance_seeker_missile_speed
- - g_balance_seeker_missile_speed_max
- - g_balance_seeker_missile_speed_up
- - g_balance_seeker_missile_speed_z
- - g_balance_seeker_missile_spread
- - g_balance_seeker_missile_turnrate
- - g_balance_seeker_reload_ammo
- - g_balance_seeker_reload_time
- - g_balance_seeker_switchdelay_drop
- - g_balance_seeker_switchdelay_raise
- - g_balance_seeker_tag_ammo
- - g_balance_seeker_tag_animtime
- - g_balance_seeker_tag_damageforcescale
- - g_balance_seeker_tag_health
- - g_balance_seeker_tag_lifetime
- - g_balance_seeker_tag_refire
- - g_balance_seeker_tag_speed
- - g_balance_seeker_tag_spread
- - g_balance_seeker_tag_tracker_lifetime
- - g_balance_seeker_type
- - g_balance_selfdamagepercent
- - g_balance_shotgun_primary_ammo
- - g_balance_shotgun_primary_animtime
- - g_balance_shotgun_primary_bulletconstant
- - g_balance_shotgun_primary_bullets
- - g_balance_shotgun_primary_damage
- - g_balance_shotgun_primary_force
- - g_balance_shotgun_primary_refire
- - g_balance_shotgun_primary_speed
- - g_balance_shotgun_primary_spread
- - g_balance_shotgun_reload_ammo
- - g_balance_shotgun_reload_time
- - g_balance_shotgun_secondary
- - g_balance_shotgun_secondary_animtime
- - g_balance_shotgun_secondary_damage
- - g_balance_shotgun_secondary_force
- - g_balance_shotgun_secondary_melee_delay
- - g_balance_shotgun_secondary_melee_multihit
- - g_balance_shotgun_secondary_melee_no_doubleslap
- - g_balance_shotgun_secondary_melee_nonplayerdamage
- - g_balance_shotgun_secondary_melee_range
- - g_balance_shotgun_secondary_melee_swing_side
- - g_balance_shotgun_secondary_melee_swing_up
- - g_balance_shotgun_secondary_melee_time
- - g_balance_shotgun_secondary_melee_traces
- - g_balance_shotgun_secondary_refire
- - g_balance_shotgun_switchdelay_drop
- - g_balance_shotgun_switchdelay_raise
- - g_balance_superweapons_time
- - g_balance_teams
- - g_balance_teams_prevent_imbalance
- - g_balance_teams_scorefactor
- - g_balance_tuba_animtime
- - g_balance_tuba_attenuation
- - g_balance_tuba_damage
- - g_balance_tuba_edgedamage
- - g_balance_tuba_fadetime
- - g_balance_tuba_force
- - g_balance_tuba_pitchstep
- - g_balance_tuba_radius
- - g_balance_tuba_refire
- - g_balance_tuba_switchdelay_drop
- - g_balance_tuba_switchdelay_raise
- - g_balance_tuba_volume
- - g_balance_uzi_bulletconstant
- - g_balance_uzi_burst
- - g_balance_uzi_burst_ammo
- - g_balance_uzi_burst_animtime
- - g_balance_uzi_burst_damage
- - g_balance_uzi_burst_force
- - g_balance_uzi_burst_refire
- - g_balance_uzi_burst_refire2
- - g_balance_uzi_burst_spread
- - g_balance_uzi_first
- - g_balance_uzi_first_ammo
- - g_balance_uzi_first_damage
- - g_balance_uzi_first_force
- - g_balance_uzi_first_refire
- - g_balance_uzi_first_spread
- - g_balance_uzi_mode
- - g_balance_uzi_reload_ammo
- - g_balance_uzi_reload_time
- - g_balance_uzi_speed
- - g_balance_uzi_spread_add
- - g_balance_uzi_spread_max
- - g_balance_uzi_spread_min
- - g_balance_uzi_sustained_ammo
- - g_balance_uzi_sustained_damage
- - g_balance_uzi_sustained_force
- - g_balance_uzi_sustained_refire
- - g_balance_uzi_sustained_spread
- - g_balance_uzi_switchdelay_drop
- - g_balance_uzi_switchdelay_raise
- - g_ballistics_density_corpse
- - g_ballistics_density_player
- - g_ballistics_materialconstant
- - g_ballistics_mindistance
- - g_ban_default_bantime
- - g_ban_default_masksize
- - g_ban_sync_interval
- - g_ban_sync_timeout
- - g_ban_sync_trusted_servers
- - g_ban_sync_trusted_servers_verify
- - g_ban_sync_uri
- - g_banned_list
- - g_banned_list_idmode
- - g_bastet
- - g_bloodloss
- - g_botclip_collisions
- - g_bugrigs
- - g_bugrigs_accel
- - g_bugrigs_air_steering
- - g_bugrigs_angle_smoothing
- - g_bugrigs_friction_air
- - g_bugrigs_friction_brake
- - g_bugrigs_friction_floor
- - g_bugrigs_planar_movement
- - g_bugrigs_planar_movement_car_jumping
- - g_bugrigs_reverse_speeding
- - g_bugrigs_reverse_spinning
- - g_bugrigs_reverse_stopping
- - g_bugrigs_speed_pow
- - g_bugrigs_speed_ref
- - g_bugrigs_steer
- - g_ca
- - g_ca_damage2score_multiplier
- - g_ca_point_leadlimit
- - g_ca_point_limit
- - g_ca_respawn_delay
- - g_ca_respawn_waves
- - g_ca_round_timelimit
- - g_ca_spectate_enemies
- - g_ca_teams
- - g_ca_teams_override
- - g_ca_warmup
- - g_ca_weapon_stay
- - g_campaign
- - g_campaign_forceteam
- - g_campaign_name
- - g_campaign_skill
- - g_campaignxonoticbeta_index
- - g_campaignxonoticbeta_won
- - g_casings
- - g_cdtracks_dontusebydefault
- - g_cdtracks_remaplist
- - g_changeteam_banned
- - g_changeteam_fragtransfer
- - g_chat_flood_burst
- - g_chat_flood_burst_team
- - g_chat_flood_burst_tell
- - g_chat_flood_lmax
- - g_chat_flood_lmax_team
- - g_chat_flood_lmax_tell
- - g_chat_flood_notify_flooder
- - g_chat_flood_spl
- - g_chat_flood_spl_team
- - g_chat_flood_spl_tell
- - g_chat_nospectators
- - g_chat_teamcolors
- - g_chat_tellprivacy
- - g_cloaked
- - g_configversion
- - g_ctf
- - g_ctf_allow_vehicle_carry
- - g_ctf_allow_vehicle_touch
- - g_ctf_captimerecord_always
- - g_ctf_drop_velocity_side
- - g_ctf_drop_velocity_up
- - g_ctf_dropped_capture_delay
- - g_ctf_dropped_capture_radius
- - g_ctf_dynamiclights
- - g_ctf_flag_blue_model
- - g_ctf_flag_blue_skin
- - g_ctf_flag_collect_delay
- - g_ctf_flag_damageforcescale
- - g_ctf_flag_dropped_floatinwater
- - g_ctf_flag_dropped_waypoint
- - g_ctf_flag_glowtrails
- - g_ctf_flag_health
- - g_ctf_flag_red_model
- - g_ctf_flag_red_skin
- - g_ctf_flag_return_damage
- - g_ctf_flag_return_dropped
- - g_ctf_flag_return_time
- - g_ctf_flag_return_when_unreachable
- - g_ctf_flagcarrier_auto_helpme_damage
- - g_ctf_flagcarrier_auto_helpme_time
- - g_ctf_flagcarrier_damagefactor
- - g_ctf_flagcarrier_forcefactor
- - g_ctf_flagcarrier_selfdamagefactor
- - g_ctf_flagcarrier_selfforcefactor
- - g_ctf_flagcarrier_waypointforenemy_spotting
- - g_ctf_fullbrightflags
- - g_ctf_ignore_frags
- - g_ctf_pass
- - g_ctf_pass_arc
- - g_ctf_pass_arc_max
- - g_ctf_pass_directional_max
- - g_ctf_pass_directional_min
- - g_ctf_pass_radius
- - g_ctf_pass_request
- - g_ctf_pass_timelimit
- - g_ctf_pass_turnrate
- - g_ctf_pass_velocity
- - g_ctf_pass_wait
- - g_ctf_portalteleport
- - g_ctf_respawn_delay
- - g_ctf_respawn_waves
- - g_ctf_reverse
- - g_ctf_score_capture
- - g_ctf_score_capture_assist
- - g_ctf_score_kill
- - g_ctf_score_penalty_drop
- - g_ctf_score_penalty_returned
- - g_ctf_score_penalty_suicidedrop
- - g_ctf_score_pickup_base
- - g_ctf_score_pickup_dropped_early
- - g_ctf_score_pickup_dropped_late
- - g_ctf_score_return
- - g_ctf_shield_force
- - g_ctf_shield_max_ratio
- - g_ctf_shield_min_negscore
- - g_ctf_stalemate
- - g_ctf_stalemate_endcondition
- - g_ctf_stalemate_time
- - g_ctf_throw
- - g_ctf_throw_angle_max
- - g_ctf_throw_angle_min
- - g_ctf_throw_punish_count
- - g_ctf_throw_punish_delay
- - g_ctf_throw_punish_time
- - g_ctf_throw_strengthmultiplier
- - g_ctf_throw_velocity_forward
- - g_ctf_throw_velocity_up
- - g_ctf_weapon_stay
- - g_cts
- - g_cts_finish_kill_delay
- - g_cts_respawn_delay
- - g_cts_respawn_waves
- - g_cts_selfdamage
- - g_cts_weapon_stay
- - g_deathglow
- - g_debug_bot_commands
- - g_debug_defaultsounds
- - g_dm
- - g_dm_respawn_delay
- - g_dm_respawn_waves
- - g_dm_weapon_stay
- - g_dodging
- - g_dom_respawn_delay
- - g_dom_respawn_waves
- - g_dom_weapon_stay
- - g_domination
- - g_domination_default_teams
- - g_domination_disable_frags
- - g_domination_point_amt
- - g_domination_point_capturetime
- - g_domination_point_fullbright
- - g_domination_point_glow
- - g_domination_point_leadlimit
- - g_domination_point_limit
- - g_domination_point_rate
- - g_domination_teams_override
- - g_footsteps
- - g_forced_respawn
- - g_forced_team_blue
- - g_forced_team_otherwise
- - g_forced_team_pink
- - g_forced_team_red
- - g_forced_team_yellow
- - g_freezetag
- - g_freezetag_frozen_force
- - g_freezetag_frozen_maxtime
- - g_freezetag_point_leadlimit
- - g_freezetag_point_limit
- - g_freezetag_revive_clearspeed
- - g_freezetag_revive_extra_size
- - g_freezetag_revive_speed
- - g_freezetag_round_timelimit
- - g_freezetag_teams
- - g_freezetag_teams_override
- - g_freezetag_warmup
- - g_friendlyfire
- - g_friendlyfire_virtual
- - g_friendlyfire_virtual_force
- - g_ft_respawn_delay
- - g_ft_respawn_waves
- - g_ft_weapon_stay
- - g_full_getstatus_responses
- - g_fullbrightitems
- - g_fullbrightplayers
- - g_grab_range
- - g_grappling_hook
- - g_grappling_hook_tarzan
- - g_hitplots
- - g_hitplots_individuals
- - g_invincible_projectiles
- - g_jetpack
- - g_jetpack_acceleration_side
- - g_jetpack_acceleration_up
- - g_jetpack_antigravity
- - g_jetpack_attenuation
- - g_jetpack_fuel
- - g_jetpack_maxspeed_side
- - g_jetpack_maxspeed_up
- - g_jump_grunt
- - g_ka_respawn_delay
- - g_ka_respawn_waves
- - g_ka_weapon_stay
- - g_keepaway
- - g_keepaway_ballcarrier_damage
- - g_keepaway_ballcarrier_effects
- - g_keepaway_ballcarrier_force
- - g_keepaway_ballcarrier_highspeed
- - g_keepaway_ballcarrier_selfdamage
- - g_keepaway_ballcarrier_selfforce
- - g_keepaway_noncarrier_damage
- - g_keepaway_noncarrier_force
- - g_keepaway_noncarrier_selfdamage
- - g_keepaway_noncarrier_selfforce
- - g_keepaway_noncarrier_warn
- - g_keepaway_score_bckill
- - g_keepaway_score_killac
- - g_keepaway_score_timeinterval
- - g_keepaway_score_timepoints
- - g_keepaway_teams
- - g_keepaway_teams_override
- - g_keepawayball_damageforcescale
- - g_keepawayball_effects
- - g_keepawayball_respawntime
- - g_keepawayball_trail_color
- - g_keyhunt
- - g_keyhunt_point_leadlimit
- - g_keyhunt_point_limit
- - g_keyhunt_teams
- - g_keyhunt_teams_override
- - g_kh_respawn_delay
- - g_kh_respawn_waves
- - g_kh_weapon_stay
- - g_lms
- - g_lms_campcheck_damage
- - g_lms_campcheck_distance
- - g_lms_campcheck_interval
- - g_lms_extra_lives
- - g_lms_join_anytime
- - g_lms_last_join
- - g_lms_lives_override
- - g_lms_regenerate
- - g_lms_respawn_delay
- - g_lms_respawn_waves
- - g_lms_start_ammo_cells
- - g_lms_start_ammo_fuel
- - g_lms_start_ammo_nails
- - g_lms_start_ammo_rockets
- - g_lms_start_ammo_shells
- - g_lms_start_armor
- - g_lms_start_health
- - g_lms_weapon_stay
- - g_mapinfo_allow_unsupported_modes_and_let_stuff_break
- - g_mapinfo_settemp_acl
- - g_maplist
- - g_maplist_allow_frustrating
- - g_maplist_allow_hidden
- - g_maplist_check_waypoints
- - g_maplist_index
- - g_maplist_mostrecent
- - g_maplist_mostrecent_count
- - g_maplist_selectrandom
- - g_maplist_shuffle
- - g_maplist_votable
- - g_maplist_votable_abstain
- - g_maplist_votable_keeptwotime
- - g_maplist_votable_nodetail
- - g_maplist_votable_screenshot_dir
- - g_maplist_votable_suggestions
- - g_maplist_votable_suggestions_override_mostrecent
- - g_maplist_votable_timeout
- - g_max_info_autoscreenshot
- - g_maxplayers
- - g_maxplayers_spectator_blocktime
- - g_maxpushtime
- - g_maxspeed
- - g_midair
- - g_midair_shieldtime
- - g_minstagib
- - g_minstagib_ammo_drop
- - g_minstagib_ammo_start
- - g_minstagib_extralives
- - g_minstagib_invis_alpha
- - g_minstagib_speed_highspeed
- - g_mirrordamage
- - g_mirrordamage_virtual
- - g_mod_balance
- - g_mod_config
- - g_mod_physics
- - g_movement_highspeed
- - g_multijump
- - g_multijump_add
- - g_multijump_speed
- - g_mutatormsg
- - g_nb_respawn_delay
- - g_nb_respawn_waves
- - g_nb_weapon_stay
- - g_new_toys
- - g_new_toys_autoreplace
- - g_nexball
- - g_nexball_basketball_bouncefactor
- - g_nexball_basketball_bouncestop
- - g_nexball_basketball_carrier_highspeed
- - g_nexball_basketball_delay_hold
- - g_nexball_basketball_delay_hold_forteam
- - g_nexball_basketball_effects_default
- - g_nexball_basketball_meter
- - g_nexball_basketball_meter_maxpower
- - g_nexball_basketball_meter_minpower
- - g_nexball_basketball_teamsteal
- - g_nexball_basketball_trail
- - g_nexball_delay_collect
- - g_nexball_delay_goal
- - g_nexball_delay_idle
- - g_nexball_delay_start
- - g_nexball_football_boost_forward
- - g_nexball_football_boost_up
- - g_nexball_football_bouncefactor
- - g_nexball_football_bouncestop
- - g_nexball_football_physics
- - g_nexball_football_trail
- - g_nexball_goalleadlimit
- - g_nexball_goallimit
- - g_nexball_meter_period
- - g_nexball_radar_showallplayers
- - g_nexball_safepass_holdtime
- - g_nexball_safepass_maxdist
- - g_nexball_safepass_turnrate
- - g_nexball_sound_bounce
- - g_nexball_tackling
- - g_nexball_trail_color
- - g_nexball_viewmodel_offset
- - g_nexball_viewmodel_scale
- - g_nick_flood_penalty
- - g_nick_flood_penalty_red
- - g_nick_flood_penalty_yellow
- - g_nick_flood_timeout
- - g_nix
- - g_nix_with_healtharmor
- - g_nix_with_laser
- - g_nix_with_powerups
- - g_nodepthtestitems
- - g_nodepthtestplayers
- - g_norecoil
- - g_ons_respawn_delay
- - g_ons_respawn_waves
- - g_ons_weapon_stay
- - g_onslaught
- - g_onslaught_cp_buildhealth
- - g_onslaught_cp_buildtime
- - g_onslaught_cp_health
- - g_onslaught_cp_proxydecap
- - g_onslaught_cp_proxydecap_distance
- - g_onslaught_cp_proxydecap_dps
- - g_onslaught_cp_regen
- - g_onslaught_gen_health
- - g_onslaught_spawn_at_controlpoints
- - g_onslaught_spawn_at_generator
- - g_physical_items
- - g_physical_items_damageforcescale
- - g_physical_items_reset
- - g_pickup_ammo_anyway
- - g_pickup_armorbig
- - g_pickup_armorbig_anyway
- - g_pickup_armorbig_max
- - g_pickup_armorlarge
- - g_pickup_armorlarge_anyway
- - g_pickup_armorlarge_max
- - g_pickup_armormedium
- - g_pickup_armormedium_anyway
- - g_pickup_armormedium_max
- - g_pickup_armorsmall
- - g_pickup_armorsmall_anyway
- - g_pickup_armorsmall_max
- - g_pickup_cells
- - g_pickup_cells_max
- - g_pickup_cells_weapon
- - g_pickup_fuel
- - g_pickup_fuel_jetpack
- - g_pickup_fuel_max
- - g_pickup_fuel_weapon
- - g_pickup_healthlarge
- - g_pickup_healthlarge_anyway
- - g_pickup_healthlarge_max
- - g_pickup_healthmedium
- - g_pickup_healthmedium_anyway
- - g_pickup_healthmedium_max
- - g_pickup_healthmega
- - g_pickup_healthmega_anyway
- - g_pickup_healthmega_max
- - g_pickup_healthsmall
- - g_pickup_healthsmall_anyway
- - g_pickup_healthsmall_max
- - g_pickup_items
- - g_pickup_nails
- - g_pickup_nails_max
- - g_pickup_nails_weapon
- - g_pickup_respawntime_ammo
- - g_pickup_respawntime_long
- - g_pickup_respawntime_medium
- - g_pickup_respawntime_powerup
- - g_pickup_respawntime_short
- - g_pickup_respawntime_superweapon
- - g_pickup_respawntime_weapon
- - g_pickup_respawntimejitter_ammo
- - g_pickup_respawntimejitter_long
- - g_pickup_respawntimejitter_medium
- - g_pickup_respawntimejitter_powerup
- - g_pickup_respawntimejitter_short
- - g_pickup_respawntimejitter_superweapon
- - g_pickup_respawntimejitter_weapon
- - g_pickup_rockets
- - g_pickup_rockets_max
- - g_pickup_rockets_weapon
- - g_pickup_shells
- - g_pickup_shells_max
- - g_pickup_shells_weapon
- - g_pickup_weapons_anyway
- - g_pinata
- - g_player_alpha
- - g_player_brightness
- - g_playerclip_collisions
- - g_playerstats_uri
- - g_powerups
- - g_projectiles_damage
- - g_projectiles_keep_owner
- - g_projectiles_newton_style
- - g_projectiles_newton_style_2_maxfactor
- - g_projectiles_newton_style_2_minfactor
- - g_projectiles_spread_style
- - g_race
- - g_race_laps_limit
- - g_race_qualifying_timelimit
- - g_race_qualifying_timelimit_override
- - g_race_teams
- - g_rc_respawn_delay
- - g_rc_respawn_waves
- - g_rc_weapon_stay
- - g_respawn_delay
- - g_respawn_ghosts
- - g_respawn_ghosts_maxtime
- - g_respawn_ghosts_speed
- - g_respawn_waves
- - g_rocket_flying
- - g_running_guns
- - g_sandbox
- - g_sandbox_editor_distance_edit
- - g_sandbox_editor_distance_spawn
- - g_sandbox_editor_flood
- - g_sandbox_editor_free
- - g_sandbox_editor_maxobjects
- - g_sandbox_info
- - g_sandbox_object_material_velocity_factor
- - g_sandbox_object_material_velocity_min
- - g_sandbox_object_scale_max
- - g_sandbox_object_scale_min
- - g_sandbox_readonly
- - g_sandbox_storage_autoload
- - g_sandbox_storage_autosave
- - g_sandbox_storage_name
- - g_shootfromcenter
- - g_shootfromclient
- - g_shootfromeye
- - g_shootfromfixedorigin
- - g_showweaponspawns
- - g_spawn_alloweffects
- - g_spawn_furthest
- - g_spawn_near_teammate
- - g_spawn_near_teammate_distance
- - g_spawn_useallspawns
- - g_spawnpoints_auto_move_out_of_solid
- - g_spawnshieldtime
- - g_start_ammo_cells
- - g_start_ammo_fuel
- - g_start_ammo_nails
- - g_start_ammo_rockets
- - g_start_ammo_shells
- - g_start_delay
- - g_start_weapon_crylink
- - g_start_weapon_electro
- - g_start_weapon_fireball
- - g_start_weapon_grenadelauncher
- - g_start_weapon_hagar
- - g_start_weapon_hlac
- - g_start_weapon_hook
- - g_start_weapon_laser
- - g_start_weapon_minelayer
- - g_start_weapon_minstanex
- - g_start_weapon_nex
- - g_start_weapon_porto
- - g_start_weapon_rifle
- - g_start_weapon_rocketlauncher
- - g_start_weapon_seeker
- - g_start_weapon_shotgun
- - g_start_weapon_tuba
- - g_start_weapon_uzi
- - g_superspectate
- - g_tdm
- - g_tdm_on_dm_maps
- - g_tdm_respawn_delay
- - g_tdm_respawn_waves
- - g_tdm_team_spawns
- - g_tdm_teams
- - g_tdm_teams_override
- - g_tdm_weapon_stay
- - g_teamdamage_resetspeed
- - g_teamdamage_threshold
- - g_telefrags
- - g_telefrags_avoid
- - g_telefrags_teamplay
- - g_teleport_maxspeed
- - g_throughfloor_damage
- - g_throughfloor_damage_max_stddev
- - g_throughfloor_debug
- - g_throughfloor_force
- - g_throughfloor_force_max_stddev
- - g_throughfloor_max_steps_other
- - g_throughfloor_max_steps_player
- - g_throughfloor_min_steps_other
- - g_throughfloor_min_steps_player
- - g_touchexplode
- - g_touchexplode_damage
- - g_touchexplode_edgedamage
- - g_touchexplode_force
- - g_touchexplode_radius
- - g_triggerimpulse_accel_multiplier
- - g_triggerimpulse_accel_power
- - g_triggerimpulse_directional_multiplier
- - g_triggerimpulse_radial_multiplier
- - g_trueaim_minrange
- - g_turrets
- - g_turrets_aimidle_delay
- - g_turrets_nofire
- - g_turrets_reloadcvars
- - g_turrets_targetscan_maxdelay
- - g_turrets_targetscan_mindelay
- - g_turrets_unit_ewheel_speed_fast
- - g_turrets_unit_ewheel_speed_slow
- - g_turrets_unit_ewheel_speed_slower
- - g_turrets_unit_ewheel_speed_stop
- - g_turrets_unit_ewheel_std_aim_firetolerance_dist
- - g_turrets_unit_ewheel_std_aim_maxpitch
- - g_turrets_unit_ewheel_std_aim_maxrot
- - g_turrets_unit_ewheel_std_aim_speed
- - g_turrets_unit_ewheel_std_ammo
- - g_turrets_unit_ewheel_std_ammo_max
- - g_turrets_unit_ewheel_std_ammo_recharge
- - g_turrets_unit_ewheel_std_health
- - g_turrets_unit_ewheel_std_respawntime
- - g_turrets_unit_ewheel_std_shot_dmg
- - g_turrets_unit_ewheel_std_shot_force
- - g_turrets_unit_ewheel_std_shot_radius
- - g_turrets_unit_ewheel_std_shot_refire
- - g_turrets_unit_ewheel_std_shot_speed
- - g_turrets_unit_ewheel_std_shot_spread
- - g_turrets_unit_ewheel_std_shot_volly
- - g_turrets_unit_ewheel_std_shot_volly_refire
- - g_turrets_unit_ewheel_std_target_range
- - g_turrets_unit_ewheel_std_target_range_min
- - g_turrets_unit_ewheel_std_target_range_optimal
- - g_turrets_unit_ewheel_std_target_select_anglebias
- - g_turrets_unit_ewheel_std_target_select_missilebias
- - g_turrets_unit_ewheel_std_target_select_playerbias
- - g_turrets_unit_ewheel_std_target_select_rangebias
- - g_turrets_unit_ewheel_std_target_select_samebias
- - g_turrets_unit_ewheel_std_track_accel_pitch
- - g_turrets_unit_ewheel_std_track_accel_rot
- - g_turrets_unit_ewheel_std_track_type
- - g_turrets_unit_ewheel_turnrate
- - g_turrets_unit_flac_std_aim_firetolerance_dist
- - g_turrets_unit_flac_std_aim_maxpitch
- - g_turrets_unit_flac_std_aim_maxrot
- - g_turrets_unit_flac_std_aim_speed
- - g_turrets_unit_flac_std_ammo
- - g_turrets_unit_flac_std_ammo_max
- - g_turrets_unit_flac_std_ammo_recharge
- - g_turrets_unit_flac_std_health
- - g_turrets_unit_flac_std_respawntime
- - g_turrets_unit_flac_std_shot_dmg
- - g_turrets_unit_flac_std_shot_force
- - g_turrets_unit_flac_std_shot_radius
- - g_turrets_unit_flac_std_shot_refire
- - g_turrets_unit_flac_std_shot_speed
- - g_turrets_unit_flac_std_shot_spread
- - g_turrets_unit_flac_std_shot_volly
- - g_turrets_unit_flac_std_shot_volly_refire
- - g_turrets_unit_flac_std_target_range
- - g_turrets_unit_flac_std_target_range_min
- - g_turrets_unit_flac_std_target_range_optimal
- - g_turrets_unit_flac_std_target_select_anglebias
- - g_turrets_unit_flac_std_target_select_missilebias
- - g_turrets_unit_flac_std_target_select_playerbias
- - g_turrets_unit_flac_std_target_select_rangebias
- - g_turrets_unit_flac_std_target_select_samebias
- - g_turrets_unit_flac_std_track_accel_pitch
- - g_turrets_unit_flac_std_track_accel_rot
- - g_turrets_unit_flac_std_track_blendrate
- - g_turrets_unit_flac_std_track_type
- - g_turrets_unit_fusreac_std_aim_firetolerance_dist
- - g_turrets_unit_fusreac_std_aim_maxpitch
- - g_turrets_unit_fusreac_std_aim_maxrot
- - g_turrets_unit_fusreac_std_aim_speed
- - g_turrets_unit_fusreac_std_ammo
- - g_turrets_unit_fusreac_std_ammo_max
- - g_turrets_unit_fusreac_std_ammo_recharge
- - g_turrets_unit_fusreac_std_health
- - g_turrets_unit_fusreac_std_respawntime
- - g_turrets_unit_fusreac_std_shot_dmg
- - g_turrets_unit_fusreac_std_shot_force
- - g_turrets_unit_fusreac_std_shot_radius
- - g_turrets_unit_fusreac_std_shot_refire
- - g_turrets_unit_fusreac_std_shot_speed
- - g_turrets_unit_fusreac_std_shot_spread
- - g_turrets_unit_fusreac_std_shot_volly
- - g_turrets_unit_fusreac_std_shot_volly_refire
- - g_turrets_unit_fusreac_std_target_range
- - g_turrets_unit_fusreac_std_target_range_min
- - g_turrets_unit_fusreac_std_target_range_optimal
- - g_turrets_unit_fusreac_std_target_select_anglebias
- - g_turrets_unit_fusreac_std_target_select_playerbias
- - g_turrets_unit_fusreac_std_target_select_rangebias
- - g_turrets_unit_fusreac_std_target_select_samebias
- - g_turrets_unit_fusreac_std_track_accel_pitch
- - g_turrets_unit_fusreac_std_track_accel_rot
- - g_turrets_unit_fusreac_std_track_blendrate
- - g_turrets_unit_fusreac_std_track_type
- - g_turrets_unit_hellion_std_aim_firetolerance_dist
- - g_turrets_unit_hellion_std_aim_maxpitch
- - g_turrets_unit_hellion_std_aim_maxrot
- - g_turrets_unit_hellion_std_aim_speed
- - g_turrets_unit_hellion_std_ammo
- - g_turrets_unit_hellion_std_ammo_max
- - g_turrets_unit_hellion_std_ammo_recharge
- - g_turrets_unit_hellion_std_health
- - g_turrets_unit_hellion_std_respawntime
- - g_turrets_unit_hellion_std_shot_dmg
- - g_turrets_unit_hellion_std_shot_force
- - g_turrets_unit_hellion_std_shot_radius
- - g_turrets_unit_hellion_std_shot_refire
- - g_turrets_unit_hellion_std_shot_speed
- - g_turrets_unit_hellion_std_shot_speed_gain
- - g_turrets_unit_hellion_std_shot_speed_max
- - g_turrets_unit_hellion_std_shot_spread
- - g_turrets_unit_hellion_std_shot_volly
- - g_turrets_unit_hellion_std_shot_volly_refire
- - g_turrets_unit_hellion_std_target_range
- - g_turrets_unit_hellion_std_target_range_min
- - g_turrets_unit_hellion_std_target_range_optimal
- - g_turrets_unit_hellion_std_target_select_anglebias
- - g_turrets_unit_hellion_std_target_select_missilebias
- - g_turrets_unit_hellion_std_target_select_playerbias
- - g_turrets_unit_hellion_std_target_select_rangebias
- - g_turrets_unit_hellion_std_target_select_samebias
- - g_turrets_unit_hellion_std_track_accel_pitch
- - g_turrets_unit_hellion_std_track_accel_rot
- - g_turrets_unit_hellion_std_track_blendrate
- - g_turrets_unit_hellion_std_track_type
- - g_turrets_unit_hk_std_aim_firetolerance_dist
- - g_turrets_unit_hk_std_aim_maxpitch
- - g_turrets_unit_hk_std_aim_maxrot
- - g_turrets_unit_hk_std_aim_speed
- - g_turrets_unit_hk_std_ammo
- - g_turrets_unit_hk_std_ammo_max
- - g_turrets_unit_hk_std_ammo_recharge
- - g_turrets_unit_hk_std_health
- - g_turrets_unit_hk_std_respawntime
- - g_turrets_unit_hk_std_shot_dmg
- - g_turrets_unit_hk_std_shot_force
- - g_turrets_unit_hk_std_shot_radius
- - g_turrets_unit_hk_std_shot_refire
- - g_turrets_unit_hk_std_shot_speed
- - g_turrets_unit_hk_std_shot_speed_accel
- - g_turrets_unit_hk_std_shot_speed_accel2
- - g_turrets_unit_hk_std_shot_speed_decel
- - g_turrets_unit_hk_std_shot_speed_max
- - g_turrets_unit_hk_std_shot_speed_turnrate
- - g_turrets_unit_hk_std_shot_spread
- - g_turrets_unit_hk_std_shot_volly
- - g_turrets_unit_hk_std_shot_volly_refire
- - g_turrets_unit_hk_std_target_range
- - g_turrets_unit_hk_std_target_range_min
- - g_turrets_unit_hk_std_target_range_optimal
- - g_turrets_unit_hk_std_target_select_anglebias
- - g_turrets_unit_hk_std_target_select_missilebias
- - g_turrets_unit_hk_std_target_select_playerbias
- - g_turrets_unit_hk_std_target_select_rangebias
- - g_turrets_unit_hk_std_target_select_samebias
- - g_turrets_unit_hk_std_track_accel_pitch
- - g_turrets_unit_hk_std_track_accel_rot
- - g_turrets_unit_hk_std_track_blendrate
- - g_turrets_unit_hk_std_track_type
- - g_turrets_unit_machinegun_std_aim_firetolerance_dist
- - g_turrets_unit_machinegun_std_aim_maxpitch
- - g_turrets_unit_machinegun_std_aim_maxrot
- - g_turrets_unit_machinegun_std_aim_speed
- - g_turrets_unit_machinegun_std_ammo
- - g_turrets_unit_machinegun_std_ammo_max
- - g_turrets_unit_machinegun_std_ammo_recharge
- - g_turrets_unit_machinegun_std_health
- - g_turrets_unit_machinegun_std_respawntime
- - g_turrets_unit_machinegun_std_shot_dmg
- - g_turrets_unit_machinegun_std_shot_force
- - g_turrets_unit_machinegun_std_shot_radius
- - g_turrets_unit_machinegun_std_shot_refire
- - g_turrets_unit_machinegun_std_shot_speed
- - g_turrets_unit_machinegun_std_shot_spread
- - g_turrets_unit_machinegun_std_shot_volly
- - g_turrets_unit_machinegun_std_shot_volly_refire
- - g_turrets_unit_machinegun_std_target_range
- - g_turrets_unit_machinegun_std_target_range_min
- - g_turrets_unit_machinegun_std_target_range_optimal
- - g_turrets_unit_machinegun_std_target_select_anglebias
- - g_turrets_unit_machinegun_std_target_select_missilebias
- - g_turrets_unit_machinegun_std_target_select_playerbias
- - g_turrets_unit_machinegun_std_target_select_rangebias
- - g_turrets_unit_machinegun_std_target_select_samebias
- - g_turrets_unit_machinegun_std_track_accel_pitch
- - g_turrets_unit_machinegun_std_track_accel_rot
- - g_turrets_unit_machinegun_std_track_blendrate
- - g_turrets_unit_machinegun_std_track_type
- - g_turrets_unit_mlrs_std_aim_firetolerance_dist
- - g_turrets_unit_mlrs_std_aim_maxpitch
- - g_turrets_unit_mlrs_std_aim_maxrot
- - g_turrets_unit_mlrs_std_aim_speed
- - g_turrets_unit_mlrs_std_ammo
- - g_turrets_unit_mlrs_std_ammo_max
- - g_turrets_unit_mlrs_std_ammo_recharge
- - g_turrets_unit_mlrs_std_health
- - g_turrets_unit_mlrs_std_respawntime
- - g_turrets_unit_mlrs_std_shot_dmg
- - g_turrets_unit_mlrs_std_shot_force
- - g_turrets_unit_mlrs_std_shot_radius
- - g_turrets_unit_mlrs_std_shot_refire
- - g_turrets_unit_mlrs_std_shot_speed
- - g_turrets_unit_mlrs_std_shot_spread
- - g_turrets_unit_mlrs_std_shot_volly
- - g_turrets_unit_mlrs_std_shot_volly_refire
- - g_turrets_unit_mlrs_std_target_range
- - g_turrets_unit_mlrs_std_target_range_min
- - g_turrets_unit_mlrs_std_target_range_optimal
- - g_turrets_unit_mlrs_std_target_select_anglebias
- - g_turrets_unit_mlrs_std_target_select_missilebias
- - g_turrets_unit_mlrs_std_target_select_playerbias
- - g_turrets_unit_mlrs_std_target_select_rangebias
- - g_turrets_unit_mlrs_std_target_select_samebias
- - g_turrets_unit_mlrs_std_track_accel_pitch
- - g_turrets_unit_mlrs_std_track_accel_rot
- - g_turrets_unit_mlrs_std_track_blendrate
- - g_turrets_unit_mlrs_std_track_type
- - g_turrets_unit_phaser_std_aim_firetolerance_dist
- - g_turrets_unit_phaser_std_aim_maxpitch
- - g_turrets_unit_phaser_std_aim_maxrot
- - g_turrets_unit_phaser_std_aim_speed
- - g_turrets_unit_phaser_std_ammo
- - g_turrets_unit_phaser_std_ammo_max
- - g_turrets_unit_phaser_std_ammo_recharge
- - g_turrets_unit_phaser_std_health
- - g_turrets_unit_phaser_std_respawntime
- - g_turrets_unit_phaser_std_shot_dmg
- - g_turrets_unit_phaser_std_shot_force
- - g_turrets_unit_phaser_std_shot_radius
- - g_turrets_unit_phaser_std_shot_refire
- - g_turrets_unit_phaser_std_shot_speed
- - g_turrets_unit_phaser_std_shot_spread
- - g_turrets_unit_phaser_std_shot_volly
- - g_turrets_unit_phaser_std_shot_volly_refire
- - g_turrets_unit_phaser_std_target_range
- - g_turrets_unit_phaser_std_target_range_min
- - g_turrets_unit_phaser_std_target_range_optimal
- - g_turrets_unit_phaser_std_target_select_anglebias
- - g_turrets_unit_phaser_std_target_select_missilebias
- - g_turrets_unit_phaser_std_target_select_playerbias
- - g_turrets_unit_phaser_std_target_select_rangebias
- - g_turrets_unit_phaser_std_target_select_samebias
- - g_turrets_unit_phaser_std_track_accel_pitch
- - g_turrets_unit_phaser_std_track_accel_rot
- - g_turrets_unit_phaser_std_track_blendrate
- - g_turrets_unit_phaser_std_track_type
- - g_turrets_unit_plasma_dual_aim_firetolerance_dist
- - g_turrets_unit_plasma_dual_aim_maxpitch
- - g_turrets_unit_plasma_dual_aim_maxrot
- - g_turrets_unit_plasma_dual_aim_speed
- - g_turrets_unit_plasma_dual_ammo
- - g_turrets_unit_plasma_dual_ammo_max
- - g_turrets_unit_plasma_dual_ammo_recharge
- - g_turrets_unit_plasma_dual_health
- - g_turrets_unit_plasma_dual_respawntime
- - g_turrets_unit_plasma_dual_shot_dmg
- - g_turrets_unit_plasma_dual_shot_force
- - g_turrets_unit_plasma_dual_shot_radius
- - g_turrets_unit_plasma_dual_shot_refire
- - g_turrets_unit_plasma_dual_shot_speed
- - g_turrets_unit_plasma_dual_shot_spread
- - g_turrets_unit_plasma_dual_shot_volly
- - g_turrets_unit_plasma_dual_shot_volly_refire
- - g_turrets_unit_plasma_dual_target_range
- - g_turrets_unit_plasma_dual_target_range_min
- - g_turrets_unit_plasma_dual_target_range_optimal
- - g_turrets_unit_plasma_dual_target_select_anglebias
- - g_turrets_unit_plasma_dual_target_select_missilebias
- - g_turrets_unit_plasma_dual_target_select_playerbias
- - g_turrets_unit_plasma_dual_target_select_rangebias
- - g_turrets_unit_plasma_dual_target_select_samebias
- - g_turrets_unit_plasma_dual_track_accel_pitch
- - g_turrets_unit_plasma_dual_track_accel_rot
- - g_turrets_unit_plasma_dual_track_blendrate
- - g_turrets_unit_plasma_dual_track_type
- - g_turrets_unit_plasma_std_aim_firetolerance_dist
- - g_turrets_unit_plasma_std_aim_maxpitch
- - g_turrets_unit_plasma_std_aim_maxrot
- - g_turrets_unit_plasma_std_aim_speed
- - g_turrets_unit_plasma_std_ammo
- - g_turrets_unit_plasma_std_ammo_max
- - g_turrets_unit_plasma_std_ammo_recharge
- - g_turrets_unit_plasma_std_health
- - g_turrets_unit_plasma_std_respawntime
- - g_turrets_unit_plasma_std_shot_dmg
- - g_turrets_unit_plasma_std_shot_force
- - g_turrets_unit_plasma_std_shot_radius
- - g_turrets_unit_plasma_std_shot_refire
- - g_turrets_unit_plasma_std_shot_speed
- - g_turrets_unit_plasma_std_shot_spread
- - g_turrets_unit_plasma_std_shot_volly
- - g_turrets_unit_plasma_std_shot_volly_refire
- - g_turrets_unit_plasma_std_target_range
- - g_turrets_unit_plasma_std_target_range_min
- - g_turrets_unit_plasma_std_target_range_optimal
- - g_turrets_unit_plasma_std_target_select_anglebias
- - g_turrets_unit_plasma_std_target_select_missilebias
- - g_turrets_unit_plasma_std_target_select_playerbias
- - g_turrets_unit_plasma_std_target_select_rangebias
- - g_turrets_unit_plasma_std_target_select_samebias
- - g_turrets_unit_plasma_std_track_accel_pitch
- - g_turrets_unit_plasma_std_track_accel_rot
- - g_turrets_unit_plasma_std_track_blendrate
- - g_turrets_unit_plasma_std_track_type
- - g_turrets_unit_tesla_std_ammo
- - g_turrets_unit_tesla_std_ammo_max
- - g_turrets_unit_tesla_std_ammo_recharge
- - g_turrets_unit_tesla_std_health
- - g_turrets_unit_tesla_std_respawntime
- - g_turrets_unit_tesla_std_shot_dmg
- - g_turrets_unit_tesla_std_shot_force
- - g_turrets_unit_tesla_std_shot_refire
- - g_turrets_unit_tesla_std_shot_volly
- - g_turrets_unit_tesla_std_shot_volly_refire
- - g_turrets_unit_tesla_std_target_range
- - g_turrets_unit_tesla_std_target_range_min
- - g_turrets_unit_tesla_std_target_select_missilebias
- - g_turrets_unit_tesla_std_target_select_playerbias
- - g_turrets_unit_walker_speed_jump
- - g_turrets_unit_walker_speed_roam
- - g_turrets_unit_walker_speed_run
- - g_turrets_unit_walker_speed_stop
- - g_turrets_unit_walker_speed_swim
- - g_turrets_unit_walker_speed_walk
- - g_turrets_unit_walker_std_aim_firetolerance_dist
- - g_turrets_unit_walker_std_aim_maxpitch
- - g_turrets_unit_walker_std_aim_maxrot
- - g_turrets_unit_walker_std_aim_speed
- - g_turrets_unit_walker_std_ammo
- - g_turrets_unit_walker_std_ammo_max
- - g_turrets_unit_walker_std_ammo_recharge
- - g_turrets_unit_walker_std_health
- - g_turrets_unit_walker_std_meele_dmg
- - g_turrets_unit_walker_std_meele_force
- - g_turrets_unit_walker_std_meele_range
- - g_turrets_unit_walker_std_respawntime
- - g_turrets_unit_walker_std_rocket_dmg
- - g_turrets_unit_walker_std_rocket_force
- - g_turrets_unit_walker_std_rocket_radius
- - g_turrets_unit_walker_std_rocket_refire
- - g_turrets_unit_walker_std_rocket_speed
- - g_turrets_unit_walker_std_rocket_turnrate
- - g_turrets_unit_walker_std_rockets_range
- - g_turrets_unit_walker_std_rockets_range_min
- - g_turrets_unit_walker_std_shot_dmg
- - g_turrets_unit_walker_std_shot_force
- - g_turrets_unit_walker_std_shot_radius
- - g_turrets_unit_walker_std_shot_refire
- - g_turrets_unit_walker_std_shot_speed
- - g_turrets_unit_walker_std_shot_spread
- - g_turrets_unit_walker_std_shot_volly
- - g_turrets_unit_walker_std_shot_volly_refire
- - g_turrets_unit_walker_std_target_range
- - g_turrets_unit_walker_std_target_range_min
- - g_turrets_unit_walker_std_target_range_optimal
- - g_turrets_unit_walker_std_target_select_anglebias
- - g_turrets_unit_walker_std_target_select_missilebias
- - g_turrets_unit_walker_std_target_select_playerbias
- - g_turrets_unit_walker_std_target_select_rangebias
- - g_turrets_unit_walker_std_target_select_samebias
- - g_turrets_unit_walker_std_track_accel_pitch
- - g_turrets_unit_walker_std_track_accel_rot
- - g_turrets_unit_walker_std_track_blendrate
- - g_turrets_unit_walker_std_track_type
- - g_turrets_unit_walker_turn
- - g_turrets_unit_walker_turn_run
- - g_turrets_unit_walker_turn_strafe
- - g_turrets_unit_walker_turn_swim
- - g_turrets_unit_walker_turn_walk
- - g_use_ammunition
- - g_vampire
- - g_vehicle_bumblebee
- - g_vehicle_bumblebee_blowup_coredamage
- - g_vehicle_bumblebee_blowup_edgedamage
- - g_vehicle_bumblebee_blowup_forceintensity
- - g_vehicle_bumblebee_blowup_radius
- - g_vehicle_bumblebee_bouncepain
- - g_vehicle_bumblebee_cannon_ammo
- - g_vehicle_bumblebee_cannon_ammo_regen
- - g_vehicle_bumblebee_cannon_ammo_regen_pause
- - g_vehicle_bumblebee_cannon_cost
- - g_vehicle_bumblebee_cannon_damage
- - g_vehicle_bumblebee_cannon_force
- - g_vehicle_bumblebee_cannon_lock
- - g_vehicle_bumblebee_cannon_pitchlimit_down
- - g_vehicle_bumblebee_cannon_pitchlimit_up
- - g_vehicle_bumblebee_cannon_radius
- - g_vehicle_bumblebee_cannon_refire
- - g_vehicle_bumblebee_cannon_speed
- - g_vehicle_bumblebee_cannon_spread
- - g_vehicle_bumblebee_cannon_turnlimit_in
- - g_vehicle_bumblebee_cannon_turnlimit_out
- - g_vehicle_bumblebee_cannon_turnspeed
- - g_vehicle_bumblebee_energy
- - g_vehicle_bumblebee_energy_regen
- - g_vehicle_bumblebee_energy_regen_pause
- - g_vehicle_bumblebee_friction
- - g_vehicle_bumblebee_healgun_amax
- - g_vehicle_bumblebee_healgun_aps
- - g_vehicle_bumblebee_healgun_hmax
- - g_vehicle_bumblebee_healgun_hps
- - g_vehicle_bumblebee_healgun_locktime
- - g_vehicle_bumblebee_healgun_smax
- - g_vehicle_bumblebee_healgun_sps
- - g_vehicle_bumblebee_health
- - g_vehicle_bumblebee_health_regen
- - g_vehicle_bumblebee_health_regen_pause
- - g_vehicle_bumblebee_pitchlimit
- - g_vehicle_bumblebee_pitchspeed
- - g_vehicle_bumblebee_raygun
- - g_vehicle_bumblebee_raygun_aps
- - g_vehicle_bumblebee_raygun_dps
- - g_vehicle_bumblebee_raygun_fps
- - g_vehicle_bumblebee_raygun_pitchlimit_down
- - g_vehicle_bumblebee_raygun_pitchlimit_up
- - g_vehicle_bumblebee_raygun_range
- - g_vehicle_bumblebee_raygun_turnlimit_sides
- - g_vehicle_bumblebee_raygun_turnspeed
- - g_vehicle_bumblebee_respawntime
- - g_vehicle_bumblebee_shield
- - g_vehicle_bumblebee_shield_regen
- - g_vehicle_bumblebee_shield_regen_pause
- - g_vehicle_bumblebee_speed_down
- - g_vehicle_bumblebee_speed_forward
- - g_vehicle_bumblebee_speed_strafe
- - g_vehicle_bumblebee_speed_up
- - g_vehicle_bumblebee_turnspeed
- - g_vehicle_racer
- - g_vehicle_racer_afterburn_cost
- - g_vehicle_racer_anglestabilizer
- - g_vehicle_racer_blowup_coredamage
- - g_vehicle_racer_blowup_edgedamage
- - g_vehicle_racer_blowup_forceintensity
- - g_vehicle_racer_blowup_radius
- - g_vehicle_racer_bouncefactor
- - g_vehicle_racer_bouncepain
- - g_vehicle_racer_bouncestop
- - g_vehicle_racer_cannon_cost
- - g_vehicle_racer_cannon_damage
- - g_vehicle_racer_cannon_force
- - g_vehicle_racer_cannon_radius
- - g_vehicle_racer_cannon_refire
- - g_vehicle_racer_cannon_speed
- - g_vehicle_racer_cannon_spread
- - g_vehicle_racer_collision_multiplier
- - g_vehicle_racer_downforce
- - g_vehicle_racer_energy
- - g_vehicle_racer_energy_regen
- - g_vehicle_racer_energy_regen_pause
- - g_vehicle_racer_friction
- - g_vehicle_racer_health
- - g_vehicle_racer_health_regen
- - g_vehicle_racer_health_regen_pause
- - g_vehicle_racer_hoverpower
- - g_vehicle_racer_hovertype
- - g_vehicle_racer_mass
- - g_vehicle_racer_maxpitch
- - g_vehicle_racer_pitchspeed
- - g_vehicle_racer_respawntime
- - g_vehicle_racer_rocket_accel
- - g_vehicle_racer_rocket_climbspeed
- - g_vehicle_racer_rocket_cost
- - g_vehicle_racer_rocket_damage
- - g_vehicle_racer_rocket_force
- - g_vehicle_racer_rocket_locked_maxangle
- - g_vehicle_racer_rocket_locked_time
- - g_vehicle_racer_rocket_locking_releasetime
- - g_vehicle_racer_rocket_locking_time
- - g_vehicle_racer_rocket_locktarget
- - g_vehicle_racer_rocket_radius
- - g_vehicle_racer_rocket_refire
- - g_vehicle_racer_rocket_speed
- - g_vehicle_racer_rocket_turnrate
- - g_vehicle_racer_shield
- - g_vehicle_racer_shield_regen
- - g_vehicle_racer_shield_regen_pause
- - g_vehicle_racer_speed_afterburn
- - g_vehicle_racer_speed_forward
- - g_vehicle_racer_speed_stop
- - g_vehicle_racer_speed_strafe
- - g_vehicle_racer_springlength
- - g_vehicle_racer_turnroll
- - g_vehicle_racer_turnspeed
- - g_vehicle_racer_upforcedamper
- - g_vehicle_raptor
- - g_vehicle_raptor_bomblet_alt
- - g_vehicle_raptor_bomblet_damage
- - g_vehicle_raptor_bomblet_edgedamage
- - g_vehicle_raptor_bomblet_explode_delay
- - g_vehicle_raptor_bomblet_force
- - g_vehicle_raptor_bomblet_radius
- - g_vehicle_raptor_bomblet_spread
- - g_vehicle_raptor_bomblet_time
- - g_vehicle_raptor_bomblets
- - g_vehicle_raptor_bombs_refire
- - g_vehicle_raptor_bouncefactor
- - g_vehicle_raptor_bouncepain
- - g_vehicle_raptor_bouncestop
- - g_vehicle_raptor_cannon_cost
- - g_vehicle_raptor_cannon_damage
- - g_vehicle_raptor_cannon_force
- - g_vehicle_raptor_cannon_locked_time
- - g_vehicle_raptor_cannon_locking_releasetime
- - g_vehicle_raptor_cannon_locking_time
- - g_vehicle_raptor_cannon_locktarget
- - g_vehicle_raptor_cannon_pitchlimit_down
- - g_vehicle_raptor_cannon_pitchlimit_up
- - g_vehicle_raptor_cannon_predicttarget
- - g_vehicle_raptor_cannon_radius
- - g_vehicle_raptor_cannon_refire
- - g_vehicle_raptor_cannon_speed
- - g_vehicle_raptor_cannon_spread
- - g_vehicle_raptor_cannon_turnlimit
- - g_vehicle_raptor_cannon_turnspeed
- - g_vehicle_raptor_energy
- - g_vehicle_raptor_energy_regen
- - g_vehicle_raptor_energy_regen_pause
- - g_vehicle_raptor_flare_chase
- - g_vehicle_raptor_flare_lifetime
- - g_vehicle_raptor_flare_range
- - g_vehicle_raptor_flare_refire
- - g_vehicle_raptor_friction
- - g_vehicle_raptor_health
- - g_vehicle_raptor_health_regen
- - g_vehicle_raptor_health_regen_pause
- - g_vehicle_raptor_mass
- - g_vehicle_raptor_movestyle
- - g_vehicle_raptor_pitchlimit
- - g_vehicle_raptor_pitchspeed
- - g_vehicle_raptor_respawntime
- - g_vehicle_raptor_shield
- - g_vehicle_raptor_shield_regen
- - g_vehicle_raptor_shield_regen_pause
- - g_vehicle_raptor_speed_down
- - g_vehicle_raptor_speed_forward
- - g_vehicle_raptor_speed_strafe
- - g_vehicle_raptor_speed_up
- - g_vehicle_raptor_takeofftime
- - g_vehicle_raptor_turnroll
- - g_vehicle_raptor_turnspeed
- - g_vehicle_spiderbot
- - g_vehicle_spiderbot_bouncefactor
- - g_vehicle_spiderbot_bouncepain
- - g_vehicle_spiderbot_bouncestop
- - g_vehicle_spiderbot_energy
- - g_vehicle_spiderbot_energy_regen
- - g_vehicle_spiderbot_energy_regen_pause
- - g_vehicle_spiderbot_head_pitchlimit_down
- - g_vehicle_spiderbot_head_pitchlimit_up
- - g_vehicle_spiderbot_head_turnlimit
- - g_vehicle_spiderbot_head_turnspeed
- - g_vehicle_spiderbot_health
- - g_vehicle_spiderbot_health_regen
- - g_vehicle_spiderbot_health_regen_pause
- - g_vehicle_spiderbot_minigun_ammo_cost
- - g_vehicle_spiderbot_minigun_ammo_max
- - g_vehicle_spiderbot_minigun_ammo_regen
- - g_vehicle_spiderbot_minigun_ammo_regen_pause
- - g_vehicle_spiderbot_minigun_bulletconstant
- - g_vehicle_spiderbot_minigun_damage
- - g_vehicle_spiderbot_minigun_force
- - g_vehicle_spiderbot_minigun_refire
- - g_vehicle_spiderbot_minigun_speed
- - g_vehicle_spiderbot_minigun_spread
- - g_vehicle_spiderbot_movement_inertia
- - g_vehicle_spiderbot_respawntime
- - g_vehicle_spiderbot_rocket_damage
- - g_vehicle_spiderbot_rocket_edgedamage
- - g_vehicle_spiderbot_rocket_force
- - g_vehicle_spiderbot_rocket_health
- - g_vehicle_spiderbot_rocket_lifetime
- - g_vehicle_spiderbot_rocket_noise
- - g_vehicle_spiderbot_rocket_radius
- - g_vehicle_spiderbot_rocket_refire
- - g_vehicle_spiderbot_rocket_refire2
- - g_vehicle_spiderbot_rocket_reload
- - g_vehicle_spiderbot_rocket_speed
- - g_vehicle_spiderbot_rocket_spread
- - g_vehicle_spiderbot_rocket_turnrate
- - g_vehicle_spiderbot_shield
- - g_vehicle_spiderbot_shield_block
- - g_vehicle_spiderbot_shield_regen
- - g_vehicle_spiderbot_shield_regen_pause
- - g_vehicle_spiderbot_speed_stop
- - g_vehicle_spiderbot_speed_strafe
- - g_vehicle_spiderbot_speed_walk
- - g_vehicle_spiderbot_springblend
- - g_vehicle_spiderbot_springlength
- - g_vehicle_spiderbot_springup
- - g_vehicle_spiderbot_tiltlimit
- - g_vehicle_spiderbot_turnspeed
- - g_vehicle_spiderbot_turnspeed_strafe
- - g_vehicles
- - g_vehicles_crush_dmg
- - g_vehicles_crush_force
- - g_vehicles_delayspawn
- - g_vehicles_delayspawn_jitter
- - g_vehicles_minstanex_damagerate
- - g_vehicles_nex_damagerate
- - g_vehicles_rifle_damagerate
- - g_vehicles_tag_damagerate
- - g_vehicles_uzi_damagerate
- - g_warmup
- - g_warmup_allguns
- - g_warmup_allow_timeout
- - g_warmup_limit
- - g_warmup_majority_factor
- - g_warmup_start_ammo_cells
- - g_warmup_start_ammo_fuel
- - g_warmup_start_ammo_nails
- - g_warmup_start_ammo_rockets
- - g_warmup_start_ammo_shells
- - g_warmup_start_armor
- - g_warmup_start_health
- - g_waypointeditor
- - g_waypointeditor_auto
- - g_waypoints_for_items
- - g_waypointsprite_alpha
- - g_waypointsprite_crosshairfadealpha
- - g_waypointsprite_crosshairfadedistance
- - g_waypointsprite_crosshairfadescale
- - g_waypointsprite_distancealphaexponent
- - g_waypointsprite_distancefadealpha
- - g_waypointsprite_distancefadedistancemultiplier
- - g_waypointsprite_distancefadescale
- - g_waypointsprite_edgefadealpha
- - g_waypointsprite_edgefadedistance
- - g_waypointsprite_edgefadescale
- - g_waypointsprite_edgeoffset_bottom
- - g_waypointsprite_edgeoffset_left
- - g_waypointsprite_edgeoffset_right
- - g_waypointsprite_edgeoffset_top
- - g_waypointsprite_fontsize
- - g_waypointsprite_minalpha
- - g_waypointsprite_minscale
- - g_waypointsprite_normdistance
- - g_waypointsprite_scale
- - g_waypointsprite_spam
- - g_waypointsprite_stuffbinds
- - g_waypointsprite_tactical
- - g_waypointsprite_timealphaexponent
- - g_waypointsprite_turrets
- - g_waypointsprite_turrets_maxdist
- - g_waypointsprite_uppercase
- - g_weapon_charge_colormod_blue_full
- - g_weapon_charge_colormod_blue_half
- - g_weapon_charge_colormod_green_full
- - g_weapon_charge_colormod_green_half
- - g_weapon_charge_colormod_hdrmultiplier
- - g_weapon_charge_colormod_red_full
- - g_weapon_charge_colormod_red_half
- - g_weapon_stay
- - g_weapon_throwable
- - g_weaponarena
- - g_weaponarena_random
- - g_weaponarena_random_with_laser
- - g_weapondamagefactor
- - g_weaponforcefactor
- - g_weaponratefactor
- - g_weaponreplace_crylink
- - g_weaponreplace_electro
- - g_weaponreplace_fireball
- - g_weaponreplace_grenadelauncher
- - g_weaponreplace_hagar
- - g_weaponreplace_hlac
- - g_weaponreplace_hook
- - g_weaponreplace_laser
- - g_weaponreplace_minelayer
- - g_weaponreplace_minstanex
- - g_weaponreplace_nex
- - g_weaponreplace_porto
- - g_weaponreplace_rifle
- - g_weaponreplace_rocketlauncher
- - g_weaponreplace_seeker
- - g_weaponreplace_shotgun
- - g_weaponreplace_tuba
- - g_weaponreplace_uzi
- - g_weaponspeedfactor
- - g_weaponspreadfactor
- - g_xonoticversion
- - gamecfg
- - gameversion
- - gameversion_max
- - gameversion_min
- - gl_combine
- - gl_dither
- - gl_ext_separatestencil
- - gl_ext_stenciltwoside
- - gl_finish
- - gl_flashblend
- - gl_info_driver
- - gl_info_extensions
- - gl_info_platform
- - gl_info_renderer
- - gl_info_vendor
- - gl_info_version
- - gl_lightmaps
- - gl_max_lightmapsize
- - gl_max_size
- - gl_mesh_drawrangeelements
- - gl_mesh_prefer_short_elements
- - gl_mesh_testmanualfeeding
- - gl_nopartialtextureupdates
- - gl_paranoid
- - gl_picmip
- - gl_picmip_other
- - gl_picmip_sprites
- - gl_picmip_world
- - gl_polyblend
- - gl_printcheckerror
- - gl_texture_anisotropy
- - gl_texturecompression
- - gl_texturecompression_2d
- - gl_texturecompression_color
- - gl_texturecompression_gloss
- - gl_texturecompression_glow
- - gl_texturecompression_lightcubemaps
- - gl_texturecompression_normal
- - gl_texturecompression_q3bspdeluxemaps
- - gl_texturecompression_q3bsplightmaps
- - gl_texturecompression_reflectmask
- - gl_texturecompression_sky
- - gl_texturecompression_sprites
- - gl_vbo
- - gl_vbo_dynamicindex
- - gl_vbo_dynamicvertex
- - halflifebsp
- - host_framerate
- - host_maxwait
- - host_speeds
- - hostname
- - hud_colorflash_alpha
- - hud_colorset_background
- - hud_colorset_foreground_1
- - hud_colorset_foreground_2
- - hud_colorset_foreground_3
- - hud_colorset_foreground_4
- - hud_colorset_kill_1
- - hud_colorset_kill_2
- - hud_colorset_kill_3
- - hud_configure_bg_minalpha
- - hud_configure_checkcollisions
- - hud_configure_grid
- - hud_configure_grid_alpha
- - hud_configure_grid_xsize
- - hud_configure_grid_ysize
- - hud_configure_teamcolorforced
- - hud_contents
- - hud_contents_blur
- - hud_contents_blur_alpha
- - hud_contents_factor
- - hud_contents_fadeintime
- - hud_contents_fadeouttime
- - hud_contents_lava_alpha
- - hud_contents_lava_color
- - hud_contents_slime_alpha
- - hud_contents_slime_color
- - hud_contents_water_alpha
- - hud_contents_water_color
- - hud_cursormode
- - hud_damage
- - hud_damage_blur
- - hud_damage_blur_alpha
- - hud_damage_color
- - hud_damage_factor
- - hud_damage_fade_rate
- - hud_damage_gentle_alpha_multiplier
- - hud_damage_gentle_color
- - hud_damage_maxalpha
- - hud_damage_pain_threshold
- - hud_damage_pain_threshold_lower
- - hud_damage_pain_threshold_lower_health
- - hud_damage_pain_threshold_pulsating_min
- - hud_damage_pain_threshold_pulsating_period
- - hud_dock
- - hud_dock_alpha
- - hud_dock_color
- - hud_dock_color_team
- - hud_fontsize
- - hud_panel_ammo
- - hud_panel_ammo_bg
- - hud_panel_ammo_bg_alpha
- - hud_panel_ammo_bg_border
- - hud_panel_ammo_bg_color
- - hud_panel_ammo_bg_color_team
- - hud_panel_ammo_bg_padding
- - hud_panel_ammo_iconalign
- - hud_panel_ammo_maxammo
- - hud_panel_ammo_onlycurrent
- - hud_panel_ammo_pos
- - hud_panel_ammo_progressbar
- - hud_panel_ammo_progressbar_name
- - hud_panel_ammo_progressbar_xoffset
- - hud_panel_ammo_size
- - hud_panel_ammo_text
- - hud_panel_bg
- - hud_panel_bg_alpha
- - hud_panel_bg_border
- - hud_panel_bg_color
- - hud_panel_bg_color_team
- - hud_panel_bg_padding
- - hud_panel_centerprint
- - hud_panel_centerprint_align
- - hud_panel_centerprint_bg
- - hud_panel_centerprint_bg_alpha
- - hud_panel_centerprint_bg_border
- - hud_panel_centerprint_bg_color
- - hud_panel_centerprint_bg_color_team
- - hud_panel_centerprint_bg_padding
- - hud_panel_centerprint_fade_in
- - hud_panel_centerprint_fade_minfontsize
- - hud_panel_centerprint_fade_out
- - hud_panel_centerprint_fade_subsequent
- - hud_panel_centerprint_fade_subsequent_minfontsize
- - hud_panel_centerprint_fade_subsequent_passone
- - hud_panel_centerprint_fade_subsequent_passone_minalpha
- - hud_panel_centerprint_fade_subsequent_passtwo
- - hud_panel_centerprint_fade_subsequent_passtwo_minalpha
- - hud_panel_centerprint_flip
- - hud_panel_centerprint_fontscale
- - hud_panel_centerprint_pos
- - hud_panel_centerprint_size
- - hud_panel_centerprint_time
- - hud_panel_chat
- - hud_panel_chat_bg
- - hud_panel_chat_bg_alpha
- - hud_panel_chat_bg_border
- - hud_panel_chat_bg_color
- - hud_panel_chat_bg_color_team
- - hud_panel_chat_bg_padding
- - hud_panel_chat_pos
- - hud_panel_chat_size
- - hud_panel_engineinfo
- - hud_panel_engineinfo_bg
- - hud_panel_engineinfo_bg_alpha
- - hud_panel_engineinfo_bg_border
- - hud_panel_engineinfo_bg_color
- - hud_panel_engineinfo_bg_color_team
- - hud_panel_engineinfo_bg_padding
- - hud_panel_engineinfo_framecounter_decimals
- - hud_panel_engineinfo_framecounter_exponentialmovingaverage
- - hud_panel_engineinfo_framecounter_exponentialmovingaverage_instantupdate_change_threshold
- - hud_panel_engineinfo_framecounter_exponentialmovingaverage_new_weight
- - hud_panel_engineinfo_framecounter_time
- - hud_panel_engineinfo_pos
- - hud_panel_engineinfo_size
- - hud_panel_fg_alpha
- - hud_panel_healtharmor
- - hud_panel_healtharmor_baralign
- - hud_panel_healtharmor_bg
- - hud_panel_healtharmor_bg_alpha
- - hud_panel_healtharmor_bg_border
- - hud_panel_healtharmor_bg_color
- - hud_panel_healtharmor_bg_color_team
- - hud_panel_healtharmor_bg_padding
- - hud_panel_healtharmor_flip
- - hud_panel_healtharmor_iconalign
- - hud_panel_healtharmor_maxarmor
- - hud_panel_healtharmor_maxhealth
- - hud_panel_healtharmor_pos
- - hud_panel_healtharmor_progressbar
- - hud_panel_healtharmor_progressbar_armor
- - hud_panel_healtharmor_progressbar_gfx
- - hud_panel_healtharmor_progressbar_gfx_damage
- - hud_panel_healtharmor_progressbar_gfx_lowhealth
- - hud_panel_healtharmor_progressbar_gfx_smooth
- - hud_panel_healtharmor_progressbar_health
- - hud_panel_healtharmor_size
- - hud_panel_healtharmor_text
- - hud_panel_infomessages
- - hud_panel_infomessages_bg
- - hud_panel_infomessages_bg_alpha
- - hud_panel_infomessages_bg_border
- - hud_panel_infomessages_bg_color
- - hud_panel_infomessages_bg_color_team
- - hud_panel_infomessages_bg_padding
- - hud_panel_infomessages_flip
- - hud_panel_infomessages_pos
- - hud_panel_infomessages_size
- - hud_panel_modicons
- - hud_panel_modicons_bg
- - hud_panel_modicons_bg_alpha
- - hud_panel_modicons_bg_border
- - hud_panel_modicons_bg_color
- - hud_panel_modicons_bg_color_team
- - hud_panel_modicons_bg_padding
- - hud_panel_modicons_ca_layout
- - hud_panel_modicons_dom_layout
- - hud_panel_modicons_freezetag_layout
- - hud_panel_modicons_pos
- - hud_panel_modicons_size
- - hud_panel_notify
- - hud_panel_notify_bg
- - hud_panel_notify_bg_alpha
- - hud_panel_notify_bg_border
- - hud_panel_notify_bg_color
- - hud_panel_notify_bg_color_team
- - hud_panel_notify_bg_padding
- - hud_panel_notify_fadetime
- - hud_panel_notify_flip
- - hud_panel_notify_fontsize
- - hud_panel_notify_pos
- - hud_panel_notify_size
- - hud_panel_notify_time
- - hud_panel_physics
- - hud_panel_physics_acceleration_max
- - hud_panel_physics_acceleration_progressbar_mode
- - hud_panel_physics_acceleration_progressbar_nonlinear
- - hud_panel_physics_acceleration_progressbar_scale
- - hud_panel_physics_acceleration_vertical
- - hud_panel_physics_baralign
- - hud_panel_physics_bg
- - hud_panel_physics_bg_alpha
- - hud_panel_physics_bg_border
- - hud_panel_physics_bg_color
- - hud_panel_physics_bg_color_team
- - hud_panel_physics_bg_padding
- - hud_panel_physics_flip
- - hud_panel_physics_pos
- - hud_panel_physics_progressbar
- - hud_panel_physics_size
- - hud_panel_physics_speed_max
- - hud_panel_physics_speed_unit
- - hud_panel_physics_speed_unit_show
- - hud_panel_physics_speed_vertical
- - hud_panel_physics_text
- - hud_panel_physics_text_scale
- - hud_panel_physics_topspeed
- - hud_panel_physics_topspeed_time
- - hud_panel_powerups
- - hud_panel_powerups_baralign
- - hud_panel_powerups_bg
- - hud_panel_powerups_bg_alpha
- - hud_panel_powerups_bg_border
- - hud_panel_powerups_bg_color
- - hud_panel_powerups_bg_color_team
- - hud_panel_powerups_bg_padding
- - hud_panel_powerups_flip
- - hud_panel_powerups_iconalign
- - hud_panel_powerups_pos
- - hud_panel_powerups_progressbar
- - hud_panel_powerups_progressbar_shield
- - hud_panel_powerups_progressbar_strength
- - hud_panel_powerups_progressbar_superweapons
- - hud_panel_powerups_size
- - hud_panel_powerups_text
- - hud_panel_pressedkeys
- - hud_panel_pressedkeys_aspect
- - hud_panel_pressedkeys_attack
- - hud_panel_pressedkeys_bg
- - hud_panel_pressedkeys_bg_alpha
- - hud_panel_pressedkeys_bg_border
- - hud_panel_pressedkeys_bg_color
- - hud_panel_pressedkeys_bg_color_team
- - hud_panel_pressedkeys_bg_padding
- - hud_panel_pressedkeys_pos
- - hud_panel_pressedkeys_size
- - hud_panel_racetimer
- - hud_panel_racetimer_bg
- - hud_panel_racetimer_bg_alpha
- - hud_panel_racetimer_bg_border
- - hud_panel_racetimer_bg_color
- - hud_panel_racetimer_bg_color_team
- - hud_panel_racetimer_bg_padding
- - hud_panel_racetimer_pos
- - hud_panel_racetimer_size
- - hud_panel_radar
- - hud_panel_radar_bg
- - hud_panel_radar_bg_alpha
- - hud_panel_radar_bg_border
- - hud_panel_radar_bg_color
- - hud_panel_radar_bg_color_team
- - hud_panel_radar_bg_padding
- - hud_panel_radar_foreground_alpha
- - hud_panel_radar_maximized_rotation
- - hud_panel_radar_maximized_scale
- - hud_panel_radar_maximized_size
- - hud_panel_radar_maximized_zoommode
- - hud_panel_radar_pos
- - hud_panel_radar_rotation
- - hud_panel_radar_scale
- - hud_panel_radar_size
- - hud_panel_radar_zoommode
- - hud_panel_score
- - hud_panel_score_bg
- - hud_panel_score_bg_alpha
- - hud_panel_score_bg_border
- - hud_panel_score_bg_color
- - hud_panel_score_bg_color_team
- - hud_panel_score_bg_padding
- - hud_panel_score_pos
- - hud_panel_score_rankings
- - hud_panel_score_size
- - hud_panel_timer
- - hud_panel_timer_bg
- - hud_panel_timer_bg_alpha
- - hud_panel_timer_bg_border
- - hud_panel_timer_bg_color
- - hud_panel_timer_bg_color_team
- - hud_panel_timer_bg_padding
- - hud_panel_timer_increment
- - hud_panel_timer_pos
- - hud_panel_timer_size
- - hud_panel_update_interval
- - hud_panel_vote
- - hud_panel_vote_alreadyvoted_alpha
- - hud_panel_vote_bg
- - hud_panel_vote_bg_alpha
- - hud_panel_vote_bg_border
- - hud_panel_vote_bg_color
- - hud_panel_vote_bg_color_team
- - hud_panel_vote_bg_padding
- - hud_panel_vote_pos
- - hud_panel_vote_size
- - hud_panel_weapons
- - hud_panel_weapons_accuracy
- - hud_panel_weapons_ammo
- - hud_panel_weapons_ammo_alpha
- - hud_panel_weapons_ammo_color
- - hud_panel_weapons_ammo_full_cells
- - hud_panel_weapons_ammo_full_fuel
- - hud_panel_weapons_ammo_full_nails
- - hud_panel_weapons_ammo_full_rockets
- - hud_panel_weapons_ammo_full_shells
- - hud_panel_weapons_aspect
- - hud_panel_weapons_bg
- - hud_panel_weapons_bg_alpha
- - hud_panel_weapons_bg_border
- - hud_panel_weapons_bg_color
- - hud_panel_weapons_bg_color_team
- - hud_panel_weapons_bg_padding
- - hud_panel_weapons_complainbubble
- - hud_panel_weapons_complainbubble_color_donthave
- - hud_panel_weapons_complainbubble_color_outofammo
- - hud_panel_weapons_complainbubble_color_unavailable
- - hud_panel_weapons_complainbubble_fadetime
- - hud_panel_weapons_complainbubble_padding
- - hud_panel_weapons_complainbubble_time
- - hud_panel_weapons_label
- - hud_panel_weapons_onlyowned
- - hud_panel_weapons_pos
- - hud_panel_weapons_size
- - hud_panel_weapons_timeout
- - hud_panel_weapons_timeout_effect
- - hud_panel_weapons_timeout_fadebgmin
- - hud_panel_weapons_timeout_fadefgmin
- - hud_panel_weapons_timeout_speed_in
- - hud_panel_weapons_timeout_speed_out
- - hud_postprocessing
- - hud_postprocessing_maxbluralpha
- - hud_postprocessing_maxblurradius
- - hud_powerup
- - hud_progressbar_acceleration_color
- - hud_progressbar_acceleration_neg_color
- - hud_progressbar_alpha
- - hud_progressbar_armor_color
- - hud_progressbar_fuel_color
- - hud_progressbar_health_color
- - hud_progressbar_nexball_color
- - hud_progressbar_shield_color
- - hud_progressbar_speed_color
- - hud_progressbar_strength_color
- - hud_progressbar_superweapons_color
- - hud_showbinds
- - hud_showbinds_limit
- - hud_shownames
- - hud_shownames_alpha
- - hud_shownames_antioverlap
- - hud_shownames_antioverlap_distance
- - hud_shownames_aspect
- - hud_shownames_crosshairdistance
- - hud_shownames_crosshairdistance_antioverlap
- - hud_shownames_crosshairdistance_time
- - hud_shownames_decolorize
- - hud_shownames_enemies
- - hud_shownames_fontsize
- - hud_shownames_maxdistance
- - hud_shownames_mindistance
- - hud_shownames_offset
- - hud_shownames_resize
- - hud_shownames_self
- - hud_shownames_status
- - hud_shownames_statusbar_height
- - hud_skin
- - hud_width
- - in_pitch_max
- - in_pitch_min
- - is_dedicated
- - joy_active
- - joy_axisforward
- - joy_axiskeyevents
- - joy_axiskeyevents_deadzone
- - joy_axispitch
- - joy_axisside
- - joy_axisup
- - joy_axisyaw
- - joy_deadzoneforward
- - joy_deadzonepitch
- - joy_deadzoneside
- - joy_deadzoneup
- - joy_deadzoneyaw
- - joy_detected
- - joy_enable
- - joy_index
- - joy_sensitivityforward
- - joy_sensitivitypitch
- - joy_sensitivityside
- - joy_sensitivityup
- - joy_sensitivityyaw
- - joy_x360_axisforward
- - joy_x360_axispitch
- - joy_x360_axisside
- - joy_x360_axisup
- - joy_x360_axisyaw
- - joy_x360_deadzoneforward
- - joy_x360_deadzonepitch
- - joy_x360_deadzoneside
- - joy_x360_deadzoneup
- - joy_x360_deadzoneyaw
- - joy_x360_sensitivityforward
- - joy_x360_sensitivitypitch
- - joy_x360_sensitivityside
- - joy_x360_sensitivityup
- - joy_x360_sensitivityyaw
- - joyadvanced
- - joyadvaxisr
- - joyadvaxisx
- - joyadvaxisy
- - joyadvaxisz
- - joypitchsensitivity
- - joysidesensitivity
- - joyyawsensitivity
- - join
- - lastlevel
- - leadlimit
- - leadlimit_and_fraglimit
- - leadlimit_override
- - locksession
- - locs_enable
- - locs_show
- - loddebug
- - log_dest_udp
- - log_file
- - lookspring
- - lookstrafe
- - m_accelerate
- - m_accelerate_filter
- - m_accelerate_maxspeed
- - m_accelerate_minspeed
- - m_filter
- - m_forward
- - m_pitch
- - m_side
- - m_yaw
- - mastervolume
- - menu_cdtrack
- - menu_cl_gunalign
- - menu_maxplayers
- - menu_mouse_absolute
- - menu_mouse_speed
- - menu_options_colorcontrol_correctionvalue
- - menu_picmip_bypass
- - menu_progs
- - menu_sandbox_attach_bone
- - menu_sandbox_edit_alpha
- - menu_sandbox_edit_color_glow
- - menu_sandbox_edit_color_main
- - menu_sandbox_edit_force
- - menu_sandbox_edit_frame
- - menu_sandbox_edit_material
- - menu_sandbox_edit_physics
- - menu_sandbox_edit_scale
- - menu_sandbox_edit_skin
- - menu_sandbox_edit_solidity
- - menu_sandbox_spawn_model
- - menu_showboxes
- - menu_skin
- - menu_slist_modfilter
- - menu_slist_showempty
- - menu_slist_showfull
- - menu_slowmo
- - menu_snd_attenuation_method
- - menu_sounds
- - menu_tooltips
- - menu_updatecheck
- - menu_updatecheck_getpacks
- - menu_use_default_hostname
- - menu_vid_scale
- - menu_video_played
- - menu_watermark
- - menu_weaponarena
- - minplayers
- - mod_alias_force_animated
- - mod_alias_supporttagscale
- - mod_bsp_portalize
- - mod_collision_bih
- - mod_generatelightmaps_borderpixels
- - mod_generatelightmaps_gridradius
- - mod_generatelightmaps_gridsamples
- - mod_generatelightmaps_lightmapradius
- - mod_generatelightmaps_lightmapsamples
- - mod_generatelightmaps_texturesize
- - mod_generatelightmaps_unitspersample
- - mod_generatelightmaps_vertexradius
- - mod_generatelightmaps_vertexsamples
- - mod_noshader_default_offsetmapping
- - mod_obj_orientation
- - mod_q1bsp_polygoncollisions
- - mod_q3bsp_curves_collisions
- - mod_q3bsp_curves_collisions_stride
- - mod_q3bsp_curves_stride
- - mod_q3bsp_debugtracebrush
- - mod_q3bsp_lightmapmergepower
- - mod_q3bsp_nolightmaps
- - mod_q3bsp_optimizedtraceline
- - mod_q3bsp_sRGBlightmaps
- - mod_q3bsp_tracelineofsight_brushes
- - mod_q3shader_default_offsetmapping
- - mod_q3shader_default_offsetmapping_bias
- - mod_q3shader_default_offsetmapping_scale
- - mod_q3shader_default_polygonfactor
- - mod_q3shader_default_polygonoffset
- - mod_q3shader_force_addalpha
- - mod_q3shader_force_terrain_alphaflag
- - mod_recalculatenodeboxes
- - music_playlist_current0
- - music_playlist_current1
- - music_playlist_current2
- - music_playlist_current3
- - music_playlist_current4
- - music_playlist_current5
- - music_playlist_current6
- - music_playlist_current7
- - music_playlist_current8
- - music_playlist_current9
- - music_playlist_index
- - music_playlist_list0
- - music_playlist_list1
- - music_playlist_list2
- - music_playlist_list3
- - music_playlist_list4
- - music_playlist_list5
- - music_playlist_list6
- - music_playlist_list7
- - music_playlist_list8
- - music_playlist_list9
- - music_playlist_random0
- - music_playlist_random1
- - music_playlist_random2
- - music_playlist_random3
- - music_playlist_random4
- - music_playlist_random5
- - music_playlist_random6
- - music_playlist_random7
- - music_playlist_random8
- - music_playlist_random9
- - music_playlist_sampleposition0
- - music_playlist_sampleposition1
- - music_playlist_sampleposition2
- - music_playlist_sampleposition3
- - music_playlist_sampleposition4
- - music_playlist_sampleposition5
- - music_playlist_sampleposition6
- - music_playlist_sampleposition7
- - music_playlist_sampleposition8
- - music_playlist_sampleposition9
- - nehx00
- - nehx01
- - nehx02
- - nehx03
- - nehx04
- - nehx05
- - nehx06
- - nehx07
- - nehx08
- - nehx09
- - nehx10
- - nehx11
- - nehx12
- - nehx13
- - nehx14
- - nehx15
- - nehx16
- - nehx17
- - nehx18
- - nehx19
- - net_address
- - net_address_ipv6
- - net_challengefloodblockingtimeout
- - net_connectfloodblockingtimeout
- - net_connecttimeout
- - net_getstatusfloodblockingtimeout
- - net_messagetimeout
- - net_slist_favorites
- - net_slist_maxtries
- - net_slist_pause
- - net_slist_queriesperframe
- - net_slist_queriespersecond
- - net_slist_timeout
- - net_tos_dscp
- - nextmap
- - noaim
- - noexit
- - nomonsters
- - nosound
- - notification_ANNCE_ACHIEVEMENT_AIRSHOT
- - notification_ANNCE_ACHIEVEMENT_AMAZING
- - notification_ANNCE_ACHIEVEMENT_AWESOME
- - notification_ANNCE_ACHIEVEMENT_BOTLIKE
- - notification_ANNCE_ACHIEVEMENT_ELECTROBITCH
- - notification_ANNCE_ACHIEVEMENT_IMPRESSIVE
- - notification_ANNCE_ACHIEVEMENT_YODA
- - notification_ANNCE_BEGIN
- - notification_ANNCE_KILLSTREAK_03
- - notification_ANNCE_KILLSTREAK_05
- - notification_ANNCE_KILLSTREAK_10
- - notification_ANNCE_KILLSTREAK_15
- - notification_ANNCE_KILLSTREAK_20
- - notification_ANNCE_KILLSTREAK_25
- - notification_ANNCE_KILLSTREAK_30
- - notification_ANNCE_MINSTAGIB_LASTSECOND
- - notification_ANNCE_MINSTAGIB_NARROWLY
- - notification_ANNCE_MINSTAGIB_TERMINATED
- - notification_ANNCE_MULTIFRAG
- - notification_ANNCE_NUM_1
- - notification_ANNCE_NUM_10
- - notification_ANNCE_NUM_2
- - notification_ANNCE_NUM_3
- - notification_ANNCE_NUM_4
- - notification_ANNCE_NUM_5
- - notification_ANNCE_NUM_6
- - notification_ANNCE_NUM_7
- - notification_ANNCE_NUM_8
- - notification_ANNCE_NUM_9
- - notification_ANNCE_PREPARE
- - notification_ANNCE_REMAINING_FRAG_1
- - notification_ANNCE_REMAINING_FRAG_2
- - notification_ANNCE_REMAINING_FRAG_3
- - notification_ANNCE_REMAINING_MIN_1
- - notification_ANNCE_REMAINING_MIN_5
- - notification_ANNCE_TIMEOUT
- - notification_ANNCE_VOTE_ACCEPT
- - notification_ANNCE_VOTE_CALL
- - notification_ANNCE_VOTE_FAIL
- - notification_CENTER_ARENA_BEGIN
- - notification_CENTER_ARENA_NEEDPLAYER
- - notification_CENTER_ARENA_ROUNDSTART
- - notification_CENTER_ASSAULT_ATTACKING
- - notification_CENTER_ASSAULT_DEFENDING
- - notification_CENTER_COUNTDOWN_BEGIN
- - notification_CENTER_COUNTDOWN_GAMESTART
- - notification_CENTER_CTF_CAPTURESHIELD_FREE
- - notification_CENTER_CTF_CAPTURESHIELD_SHIELDED
- - notification_CENTER_CTF_CAPTURE_BLUE
- - notification_CENTER_CTF_CAPTURE_RED
- - notification_CENTER_CTF_FLAG_THROW_PUNISH
- - notification_CENTER_CTF_PASS_OTHER_BLUE
- - notification_CENTER_CTF_PASS_OTHER_RED
- - notification_CENTER_CTF_PASS_RECEIVED_BLUE
- - notification_CENTER_CTF_PASS_RECEIVED_RED
- - notification_CENTER_CTF_PASS_REQUESTED
- - notification_CENTER_CTF_PASS_REQUESTING
- - notification_CENTER_CTF_PASS_SENT_BLUE
- - notification_CENTER_CTF_PASS_SENT_RED
- - notification_CENTER_CTF_PICKUP_BLUE
- - notification_CENTER_CTF_PICKUP_ENEMY
- - notification_CENTER_CTF_PICKUP_ENEMY_VERBOSE
- - notification_CENTER_CTF_PICKUP_RED
- - notification_CENTER_CTF_PICKUP_TEAM
- - notification_CENTER_CTF_PICKUP_TEAM_VERBOSE
- - notification_CENTER_CTF_RETURN_BLUE
- - notification_CENTER_CTF_RETURN_RED
- - notification_CENTER_CTF_STALEMATE_CARRIER
- - notification_CENTER_CTF_STALEMATE_OTHER
- - notification_CENTER_DEATH_MURDER_FRAG
- - notification_CENTER_DEATH_MURDER_FRAGGED
- - notification_CENTER_DEATH_MURDER_FRAGGED_VERBOSE
- - notification_CENTER_DEATH_MURDER_FRAG_VERBOSE
- - notification_CENTER_DEATH_MURDER_TYPEFRAG
- - notification_CENTER_DEATH_MURDER_TYPEFRAGGED
- - notification_CENTER_DEATH_MURDER_TYPEFRAGGED_VERBOSE
- - notification_CENTER_DEATH_MURDER_TYPEFRAG_VERBOSE
- - notification_CENTER_DEATH_SELF_AUTOTEAMCHANGE
- - notification_CENTER_DEATH_SELF_BETRAYAL
- - notification_CENTER_DEATH_SELF_CAMP
- - notification_CENTER_DEATH_SELF_CHEAT
- - notification_CENTER_DEATH_SELF_CUSTOM
- - notification_CENTER_DEATH_SELF_DROWN
- - notification_CENTER_DEATH_SELF_FALL
- - notification_CENTER_DEATH_SELF_FIRE
- - notification_CENTER_DEATH_SELF_GENERIC
- - notification_CENTER_DEATH_SELF_LAVA
- - notification_CENTER_DEATH_SELF_NOAMMO
- - notification_CENTER_DEATH_SELF_ROT
- - notification_CENTER_DEATH_SELF_SHOOTING_STAR
- - notification_CENTER_DEATH_SELF_SLIME
- - notification_CENTER_DEATH_SELF_SUICIDE
- - notification_CENTER_DEATH_SELF_SWAMP
- - notification_CENTER_DEATH_SELF_TEAMCHANGE
- - notification_CENTER_DEATH_SELF_TOUCHEXPLODE
- - notification_CENTER_DEATH_SELF_TURRET
- - notification_CENTER_DEATH_SELF_TURRET_EWHEEL
- - notification_CENTER_DEATH_SELF_TURRET_WALK
- - notification_CENTER_DEATH_SELF_VH_BUMB_DEATH
- - notification_CENTER_DEATH_SELF_VH_CRUSH
- - notification_CENTER_DEATH_SELF_VH_RAPT_BOMB
- - notification_CENTER_DEATH_SELF_VH_RAPT_DEATH
- - notification_CENTER_DEATH_SELF_VH_SPID_DEATH
- - notification_CENTER_DEATH_SELF_VH_SPID_ROCKET
- - notification_CENTER_DEATH_SELF_VH_WAKI_DEATH
- - notification_CENTER_DEATH_SELF_VH_WAKI_ROCKET
- - notification_CENTER_DEATH_SELF_VOID
- - notification_CENTER_DEATH_TEAMKILL_FRAG
- - notification_CENTER_DEATH_TEAMKILL_FRAGGED
- - notification_CENTER_DISCONNECT_IDLING
- - notification_CENTER_FREEZETAG_FREEZE
- - notification_CENTER_FREEZETAG_FROZEN
- - notification_CENTER_FREEZETAG_REVIVE
- - notification_CENTER_FREEZETAG_REVIVED
- - notification_CENTER_FREEZETAG_ROUND_WIN_BLUE
- - notification_CENTER_FREEZETAG_ROUND_WIN_PINK
- - notification_CENTER_FREEZETAG_ROUND_WIN_RED
- - notification_CENTER_FREEZETAG_ROUND_WIN_YELLOW
- - notification_CENTER_FREEZETAG_SELF
- - notification_CENTER_FREEZETAG_SPAWN_LATE
- - notification_CENTER_ITEM_WEAPON_DONTHAVE
- - notification_CENTER_ITEM_WEAPON_DROP
- - notification_CENTER_ITEM_WEAPON_GOT
- - notification_CENTER_ITEM_WEAPON_NOAMMO
- - notification_CENTER_ITEM_WEAPON_PRIMORSEC
- - notification_CENTER_ITEM_WEAPON_UNAVAILABLE
- - notification_CENTER_JOIN_NOSPAWNS
- - notification_CENTER_JOIN_PREVENT
- - notification_CENTER_KEEPAWAY_DROPPED
- - notification_CENTER_KEEPAWAY_PICKUP
- - notification_CENTER_KEEPAWAY_WARN
- - notification_CENTER_KEYHUNT_HELP
- - notification_CENTER_KEYHUNT_INTERFERE_BLUE
- - notification_CENTER_KEYHUNT_INTERFERE_PINK
- - notification_CENTER_KEYHUNT_INTERFERE_RED
- - notification_CENTER_KEYHUNT_INTERFERE_YELLOW
- - notification_CENTER_KEYHUNT_MEET
- - notification_CENTER_KEYHUNT_SCAN
- - notification_CENTER_KEYHUNT_START_BLUE
- - notification_CENTER_KEYHUNT_START_PINK
- - notification_CENTER_KEYHUNT_START_RED
- - notification_CENTER_KEYHUNT_START_YELLOW
- - notification_CENTER_KEYHUNT_WAIT
- - notification_CENTER_LMS_CAMPCHECK
- - notification_CENTER_MINSTA_FINDAMMO
- - notification_CENTER_MINSTA_FINDAMMO_FIRST
- - notification_CENTER_MOTD
- - notification_CENTER_NIX_COUNTDOWN
- - notification_CENTER_NIX_NEWWEAPON
- - notification_CENTER_OVERTIME_FRAG
- - notification_CENTER_OVERTIME_TIME
- - notification_CENTER_POWERDOWN_INVISIBILITY
- - notification_CENTER_POWERDOWN_SHIELD
- - notification_CENTER_POWERDOWN_SPEED
- - notification_CENTER_POWERDOWN_STRENGTH
- - notification_CENTER_POWERUP_INVISIBILITY
- - notification_CENTER_POWERUP_SHIELD
- - notification_CENTER_POWERUP_SPEED
- - notification_CENTER_POWERUP_STRENGTH
- - notification_CENTER_RACE_FINISHLAP
- - notification_CENTER_SUPERWEAPON_BROKEN
- - notification_CENTER_SUPERWEAPON_LOST
- - notification_CENTER_SUPERWEAPON_PICKUP
- - notification_CENTER_TEAMCHANGE_AUTO
- - notification_CENTER_TEAMCHANGE_BLUE
- - notification_CENTER_TEAMCHANGE_PINK
- - notification_CENTER_TEAMCHANGE_RED
- - notification_CENTER_TEAMCHANGE_SPECTATE
- - notification_CENTER_TEAMCHANGE_SUICIDE
- - notification_CENTER_TEAMCHANGE_YELLOW
- - notification_CENTER_TIMEOUT_BEGINNING
- - notification_CENTER_TIMEOUT_ENDING
- - notification_DEATH_MURDER_CHEAT
- - notification_DEATH_MURDER_DROWN
- - notification_DEATH_MURDER_FALL
- - notification_DEATH_MURDER_FIRE
- - notification_DEATH_MURDER_LAVA
- - notification_DEATH_MURDER_SHOOTING_STAR
- - notification_DEATH_MURDER_SLIME
- - notification_DEATH_MURDER_SWAMP
- - notification_DEATH_MURDER_TELEFRAG
- - notification_DEATH_MURDER_TOUCHEXPLODE
- - notification_DEATH_MURDER_VH_BUMB_DEATH
- - notification_DEATH_MURDER_VH_BUMB_GUN
- - notification_DEATH_MURDER_VH_CRUSH
- - notification_DEATH_MURDER_VH_RAPT_BOMB
- - notification_DEATH_MURDER_VH_RAPT_CANNON
- - notification_DEATH_MURDER_VH_RAPT_DEATH
- - notification_DEATH_MURDER_VH_SPID_DEATH
- - notification_DEATH_MURDER_VH_SPID_MINIGUN
- - notification_DEATH_MURDER_VH_SPID_ROCKET
- - notification_DEATH_MURDER_VH_WAKI_DEATH
- - notification_DEATH_MURDER_VH_WAKI_GUN
- - notification_DEATH_MURDER_VH_WAKI_ROCKET
- - notification_DEATH_MURDER_VOID
- - notification_DEATH_SELF_AUTOTEAMCHANGE
- - notification_DEATH_SELF_BETRAYAL
- - notification_DEATH_SELF_CAMP
- - notification_DEATH_SELF_CHEAT
- - notification_DEATH_SELF_CUSTOM
- - notification_DEATH_SELF_DROWN
- - notification_DEATH_SELF_FALL
- - notification_DEATH_SELF_FIRE
- - notification_DEATH_SELF_GENERIC
- - notification_DEATH_SELF_LAVA
- - notification_DEATH_SELF_NOAMMO
- - notification_DEATH_SELF_ROT
- - notification_DEATH_SELF_SHOOTING_STAR
- - notification_DEATH_SELF_SLIME
- - notification_DEATH_SELF_SUICIDE
- - notification_DEATH_SELF_SWAMP
- - notification_DEATH_SELF_TEAMCHANGE
- - notification_DEATH_SELF_TOUCHEXPLODE
- - notification_DEATH_SELF_TURRET
- - notification_DEATH_SELF_TURRET_EWHEEL
- - notification_DEATH_SELF_TURRET_FLAC
- - notification_DEATH_SELF_TURRET_HELLION
- - notification_DEATH_SELF_TURRET_HK
- - notification_DEATH_SELF_TURRET_MACHINEGUN
- - notification_DEATH_SELF_TURRET_MLRS
- - notification_DEATH_SELF_TURRET_PHASER
- - notification_DEATH_SELF_TURRET_PLASMA
- - notification_DEATH_SELF_TURRET_TESLA
- - notification_DEATH_SELF_TURRET_WALK_GUN
- - notification_DEATH_SELF_TURRET_WALK_MEELE
- - notification_DEATH_SELF_TURRET_WALK_ROCKET
- - notification_DEATH_SELF_VH_BUMB_DEATH
- - notification_DEATH_SELF_VH_CRUSH
- - notification_DEATH_SELF_VH_RAPT_BOMB
- - notification_DEATH_SELF_VH_RAPT_DEATH
- - notification_DEATH_SELF_VH_SPID_DEATH
- - notification_DEATH_SELF_VH_SPID_ROCKET
- - notification_DEATH_SELF_VH_WAKI_DEATH
- - notification_DEATH_SELF_VH_WAKI_ROCKET
- - notification_DEATH_SELF_VOID
- - notification_INFO_CTF_CAPTURE_BLUE
- - notification_INFO_CTF_CAPTURE_BROKEN_BLUE
- - notification_INFO_CTF_CAPTURE_BROKEN_RED
- - notification_INFO_CTF_CAPTURE_RED
- - notification_INFO_CTF_CAPTURE_TIME_BLUE
- - notification_INFO_CTF_CAPTURE_TIME_RED
- - notification_INFO_CTF_CAPTURE_UNBROKEN_BLUE
- - notification_INFO_CTF_CAPTURE_UNBROKEN_RED
- - notification_INFO_CTF_FLAGRETURN_ABORTRUN_BLUE
- - notification_INFO_CTF_FLAGRETURN_ABORTRUN_RED
- - notification_INFO_CTF_FLAGRETURN_DAMAGED_BLUE
- - notification_INFO_CTF_FLAGRETURN_DAMAGED_RED
- - notification_INFO_CTF_FLAGRETURN_DROPPED_BLUE
- - notification_INFO_CTF_FLAGRETURN_DROPPED_RED
- - notification_INFO_CTF_FLAGRETURN_NEEDKILL_BLUE
- - notification_INFO_CTF_FLAGRETURN_NEEDKILL_RED
- - notification_INFO_CTF_FLAGRETURN_SPEEDRUN_BLUE
- - notification_INFO_CTF_FLAGRETURN_SPEEDRUN_RED
- - notification_INFO_CTF_FLAGRETURN_TIMEOUT_BLUE
- - notification_INFO_CTF_FLAGRETURN_TIMEOUT_RED
- - notification_INFO_CTF_LOST_BLUE
- - notification_INFO_CTF_LOST_RED
- - notification_INFO_CTF_PICKUP_BLUE
- - notification_INFO_CTF_PICKUP_RED
- - notification_INFO_CTF_RETURN_BLUE
- - notification_INFO_CTF_RETURN_RED
- - notification_INFO_DEATH_MURDER_CHEAT
- - notification_INFO_DEATH_MURDER_DROWN
- - notification_INFO_DEATH_MURDER_FALL
- - notification_INFO_DEATH_MURDER_FIRE
- - notification_INFO_DEATH_MURDER_LAVA
- - notification_INFO_DEATH_MURDER_SHOOTING_STAR
- - notification_INFO_DEATH_MURDER_SLIME
- - notification_INFO_DEATH_MURDER_SWAMP
- - notification_INFO_DEATH_MURDER_TELEFRAG
- - notification_INFO_DEATH_MURDER_TOUCHEXPLODE
- - notification_INFO_DEATH_MURDER_VH_BUMB_DEATH
- - notification_INFO_DEATH_MURDER_VH_BUMB_GUN
- - notification_INFO_DEATH_MURDER_VH_CRUSH
- - notification_INFO_DEATH_MURDER_VH_RAPT_BOMB
- - notification_INFO_DEATH_MURDER_VH_RAPT_CANNON
- - notification_INFO_DEATH_MURDER_VH_RAPT_DEATH
- - notification_INFO_DEATH_MURDER_VH_SPID_DEATH
- - notification_INFO_DEATH_MURDER_VH_SPID_MINIGUN
- - notification_INFO_DEATH_MURDER_VH_SPID_ROCKET
- - notification_INFO_DEATH_MURDER_VH_WAKI_DEATH
- - notification_INFO_DEATH_MURDER_VH_WAKI_GUN
- - notification_INFO_DEATH_MURDER_VH_WAKI_ROCKET
- - notification_INFO_DEATH_MURDER_VOID
- - notification_INFO_DEATH_SELF_AUTOTEAMCHANGE
- - notification_INFO_DEATH_SELF_BETRAYAL
- - notification_INFO_DEATH_SELF_CAMP
- - notification_INFO_DEATH_SELF_CHEAT
- - notification_INFO_DEATH_SELF_CUSTOM
- - notification_INFO_DEATH_SELF_DROWN
- - notification_INFO_DEATH_SELF_FALL
- - notification_INFO_DEATH_SELF_FIRE
- - notification_INFO_DEATH_SELF_GENERIC
- - notification_INFO_DEATH_SELF_LAVA
- - notification_INFO_DEATH_SELF_NOAMMO
- - notification_INFO_DEATH_SELF_ROT
- - notification_INFO_DEATH_SELF_SHOOTING_STAR
- - notification_INFO_DEATH_SELF_SLIME
- - notification_INFO_DEATH_SELF_SUICIDE
- - notification_INFO_DEATH_SELF_SWAMP
- - notification_INFO_DEATH_SELF_TEAMCHANGE
- - notification_INFO_DEATH_SELF_TOUCHEXPLODE
- - notification_INFO_DEATH_SELF_TURRET
- - notification_INFO_DEATH_SELF_TURRET_EWHEEL
- - notification_INFO_DEATH_SELF_TURRET_FLAC
- - notification_INFO_DEATH_SELF_TURRET_HELLION
- - notification_INFO_DEATH_SELF_TURRET_HK
- - notification_INFO_DEATH_SELF_TURRET_MACHINEGUN
- - notification_INFO_DEATH_SELF_TURRET_MLRS
- - notification_INFO_DEATH_SELF_TURRET_PHASER
- - notification_INFO_DEATH_SELF_TURRET_PLASMA
- - notification_INFO_DEATH_SELF_TURRET_TESLA
- - notification_INFO_DEATH_SELF_TURRET_WALK_GUN
- - notification_INFO_DEATH_SELF_TURRET_WALK_MEELE
- - notification_INFO_DEATH_SELF_TURRET_WALK_ROCKET
- - notification_INFO_DEATH_SELF_VH_BUMB_DEATH
- - notification_INFO_DEATH_SELF_VH_CRUSH
- - notification_INFO_DEATH_SELF_VH_RAPT_BOMB
- - notification_INFO_DEATH_SELF_VH_RAPT_DEATH
- - notification_INFO_DEATH_SELF_VH_SPID_DEATH
- - notification_INFO_DEATH_SELF_VH_SPID_ROCKET
- - notification_INFO_DEATH_SELF_VH_WAKI_DEATH
- - notification_INFO_DEATH_SELF_VH_WAKI_ROCKET
- - notification_INFO_DEATH_SELF_VOID
- - notification_INFO_DEATH_TEAMKILL_BLUE
- - notification_INFO_DEATH_TEAMKILL_PINK
- - notification_INFO_DEATH_TEAMKILL_RED
- - notification_INFO_DEATH_TEAMKILL_YELLOW
- - notification_INFO_FREEZETAG_FREEZE
- - notification_INFO_FREEZETAG_REVIVE
- - notification_INFO_FREEZETAG_ROUND_WIN_BLUE
- - notification_INFO_FREEZETAG_ROUND_WIN_PINK
- - notification_INFO_FREEZETAG_ROUND_WIN_RED
- - notification_INFO_FREEZETAG_ROUND_WIN_YELLOW
- - notification_INFO_FREEZETAG_SELF
- - notification_INFO_GODMODE_OFF
- - notification_INFO_ITEM_WEAPON_DONTHAVE
- - notification_INFO_ITEM_WEAPON_DROP
- - notification_INFO_ITEM_WEAPON_GOT
- - notification_INFO_ITEM_WEAPON_NOAMMO
- - notification_INFO_ITEM_WEAPON_PRIMORSEC
- - notification_INFO_ITEM_WEAPON_UNAVAILABLE
- - notification_INFO_JOIN_CONNECT
- - notification_INFO_JOIN_CONNECT_TEAM_BLUE
- - notification_INFO_JOIN_CONNECT_TEAM_PINK
- - notification_INFO_JOIN_CONNECT_TEAM_RED
- - notification_INFO_JOIN_CONNECT_TEAM_YELLOW
- - notification_INFO_JOIN_PLAY
- - notification_INFO_KEEPAWAY_DROPPED
- - notification_INFO_KEEPAWAY_PICKUP
- - notification_INFO_KEYHUNT_CAPTURE_BLUE
- - notification_INFO_KEYHUNT_CAPTURE_PINK
- - notification_INFO_KEYHUNT_CAPTURE_RED
- - notification_INFO_KEYHUNT_CAPTURE_YELLOW
- - notification_INFO_KEYHUNT_DROP_BLUE
- - notification_INFO_KEYHUNT_DROP_PINK
- - notification_INFO_KEYHUNT_DROP_RED
- - notification_INFO_KEYHUNT_DROP_YELLOW
- - notification_INFO_KEYHUNT_LOST_BLUE
- - notification_INFO_KEYHUNT_LOST_PINK
- - notification_INFO_KEYHUNT_LOST_RED
- - notification_INFO_KEYHUNT_LOST_YELLOW
- - notification_INFO_KEYHUNT_PICKUP_BLUE
- - notification_INFO_KEYHUNT_PICKUP_PINK
- - notification_INFO_KEYHUNT_PICKUP_RED
- - notification_INFO_KEYHUNT_PICKUP_YELLOW
- - notification_INFO_LMS_FORFEIT
- - notification_INFO_LMS_NOLIVES
- - notification_INFO_POWERUP_INVISIBILITY
- - notification_INFO_POWERUP_SHIELD
- - notification_INFO_POWERUP_SPEED
- - notification_INFO_POWERUP_STRENGTH
- - notification_INFO_QUIT_DISCONNECT
- - notification_INFO_QUIT_KICK_IDLING
- - notification_INFO_QUIT_KICK_SPECTATING
- - notification_INFO_QUIT_SPECTATE
- - notification_INFO_RACE_ABANDONED
- - notification_INFO_RACE_FAIL_RANKED
- - notification_INFO_RACE_FAIL_UNRANKED
- - notification_INFO_RACE_FINISHED
- - notification_INFO_RACE_NEW_BROKEN
- - notification_INFO_RACE_NEW_IMPROVED
- - notification_INFO_RACE_NEW_MISSING_UID
- - notification_INFO_RACE_NEW_SET
- - notification_INFO_SCORES_BLUE
- - notification_INFO_SCORES_PINK
- - notification_INFO_SCORES_RED
- - notification_INFO_SCORES_YELLOW
- - notification_INFO_SPECTATE_WARNING
- - notification_INFO_SUPERWEAPON_PICKUP
- - notification_INFO_VERSION_BETA
- - notification_INFO_VERSION_OLD
- - notification_INFO_VERSION_OUTDATED
- - notification_INFO_WATERMARK
- - notification_INFO_WEAPON_ACCORDEON_MURDER
- - notification_INFO_WEAPON_ACCORDEON_SUICIDE
- - notification_INFO_WEAPON_CRYLINK_MURDER
- - notification_INFO_WEAPON_CRYLINK_SUICIDE
- - notification_INFO_WEAPON_ELECTRO_MURDER_BOLT
- - notification_INFO_WEAPON_ELECTRO_MURDER_COMBO
- - notification_INFO_WEAPON_ELECTRO_MURDER_ORBS
- - notification_INFO_WEAPON_ELECTRO_SUICIDE_BOLT
- - notification_INFO_WEAPON_ELECTRO_SUICIDE_ORBS
- - notification_INFO_WEAPON_FIREBALL_MURDER_BLAST
- - notification_INFO_WEAPON_FIREBALL_MURDER_FIREMINE
- - notification_INFO_WEAPON_FIREBALL_SUICIDE_BLAST
- - notification_INFO_WEAPON_FIREBALL_SUICIDE_FIREMINE
- - notification_INFO_WEAPON_HAGAR_MURDER_BURST
- - notification_INFO_WEAPON_HAGAR_MURDER_SPRAY
- - notification_INFO_WEAPON_HAGAR_SUICIDE
- - notification_INFO_WEAPON_HLAC_MURDER
- - notification_INFO_WEAPON_HLAC_SUICIDE
- - notification_INFO_WEAPON_HOOK_MURDER
- - notification_INFO_WEAPON_KLEINBOTTLE_MURDER
- - notification_INFO_WEAPON_KLEINBOTTLE_SUICIDE
- - notification_INFO_WEAPON_LASER_MURDER
- - notification_INFO_WEAPON_LASER_SUICIDE
- - notification_INFO_WEAPON_MINELAYER_MURDER
- - notification_INFO_WEAPON_MINELAYER_SUICIDE
- - notification_INFO_WEAPON_MINSTANEX_MURDER
- - notification_INFO_WEAPON_MORTAR_MURDER_BOUNCE
- - notification_INFO_WEAPON_MORTAR_MURDER_EXPLODE
- - notification_INFO_WEAPON_MORTAR_SUICIDE_BOUNCE
- - notification_INFO_WEAPON_MORTAR_SUICIDE_EXPLODE
- - notification_INFO_WEAPON_NEX_MURDER
- - notification_INFO_WEAPON_RIFLE_MURDER
- - notification_INFO_WEAPON_RIFLE_MURDER_HAIL
- - notification_INFO_WEAPON_RIFLE_MURDER_HAIL_PIERCING
- - notification_INFO_WEAPON_RIFLE_MURDER_PIERCING
- - notification_INFO_WEAPON_ROCKETLAUNCHER_MURDER_DIRECT
- - notification_INFO_WEAPON_ROCKETLAUNCHER_MURDER_SPLASH
- - notification_INFO_WEAPON_ROCKETLAUNCHER_SUICIDE
- - notification_INFO_WEAPON_SEEKER_MURDER_SPRAY
- - notification_INFO_WEAPON_SEEKER_MURDER_TAG
- - notification_INFO_WEAPON_SEEKER_SUICIDE
- - notification_INFO_WEAPON_SHOTGUN_MURDER
- - notification_INFO_WEAPON_SHOTGUN_MURDER_SLAP
- - notification_INFO_WEAPON_THINKING_WITH_PORTALS
- - notification_INFO_WEAPON_TUBA_MURDER
- - notification_INFO_WEAPON_TUBA_SUICIDE
- - notification_INFO_WEAPON_UZI_MURDER_SNIPE
- - notification_INFO_WEAPON_UZI_MURDER_SPRAY
- - notification_ITEM_WEAPON_DONTHAVE
- - notification_ITEM_WEAPON_DROP
- - notification_ITEM_WEAPON_GOT
- - notification_ITEM_WEAPON_NOAMMO
- - notification_ITEM_WEAPON_PRIMORSEC
- - notification_ITEM_WEAPON_UNAVAILABLE
- - notification_MULTI_ARENA_BEGIN
- - notification_MULTI_COUNTDOWN_BEGIN
- - notification_MULTI_MINSTA_FINDAMMO
- - notification_WEAPON_ACCORDEON_MURDER
- - notification_WEAPON_ACCORDEON_SUICIDE
- - notification_WEAPON_CRYLINK_MURDER
- - notification_WEAPON_CRYLINK_SUICIDE
- - notification_WEAPON_ELECTRO_MURDER_BOLT
- - notification_WEAPON_ELECTRO_MURDER_COMBO
- - notification_WEAPON_ELECTRO_MURDER_ORBS
- - notification_WEAPON_ELECTRO_SUICIDE_BOLT
- - notification_WEAPON_ELECTRO_SUICIDE_ORBS
- - notification_WEAPON_FIREBALL_MURDER_BLAST
- - notification_WEAPON_FIREBALL_MURDER_FIREMINE
- - notification_WEAPON_FIREBALL_SUICIDE_BLAST
- - notification_WEAPON_FIREBALL_SUICIDE_FIREMINE
- - notification_WEAPON_HAGAR_MURDER_BURST
- - notification_WEAPON_HAGAR_MURDER_SPRAY
- - notification_WEAPON_HAGAR_SUICIDE
- - notification_WEAPON_HLAC_MURDER
- - notification_WEAPON_HLAC_SUICIDE
- - notification_WEAPON_HOOK_MURDER
- - notification_WEAPON_KLEINBOTTLE_MURDER
- - notification_WEAPON_KLEINBOTTLE_SUICIDE
- - notification_WEAPON_LASER_MURDER
- - notification_WEAPON_LASER_SUICIDE
- - notification_WEAPON_MINELAYER_MURDER
- - notification_WEAPON_MINELAYER_SUICIDE
- - notification_WEAPON_MINSTANEX_MURDER
- - notification_WEAPON_MORTAR_MURDER_BOUNCE
- - notification_WEAPON_MORTAR_MURDER_EXPLODE
- - notification_WEAPON_MORTAR_SUICIDE_BOUNCE
- - notification_WEAPON_MORTAR_SUICIDE_EXPLODE
- - notification_WEAPON_NEX_MURDER
- - notification_WEAPON_RIFLE_MURDER
- - notification_WEAPON_RIFLE_MURDER_HAIL
- - notification_WEAPON_RIFLE_MURDER_HAIL_PIERCING
- - notification_WEAPON_RIFLE_MURDER_PIERCING
- - notification_WEAPON_ROCKETLAUNCHER_MURDER_DIRECT
- - notification_WEAPON_ROCKETLAUNCHER_MURDER_SPLASH
- - notification_WEAPON_ROCKETLAUNCHER_SUICIDE
- - notification_WEAPON_SEEKER_MURDER_SPRAY
- - notification_WEAPON_SEEKER_MURDER_TAG
- - notification_WEAPON_SEEKER_SUICIDE
- - notification_WEAPON_SHOTGUN_MURDER
- - notification_WEAPON_SHOTGUN_MURDER_SLAP
- - notification_WEAPON_THINKING_WITH_PORTALS
- - notification_WEAPON_TUBA_MURDER
- - notification_WEAPON_TUBA_SUICIDE
- - notification_WEAPON_UZI_MURDER_SNIPE
- - notification_WEAPON_UZI_MURDER_SPRAY
- - notification_allow_chatboxprint
- - notification_ctf_capture_verbose
- - notification_ctf_pickup_enemy_verbose
- - notification_ctf_pickup_team_verbose
- - notification_debug
- - notification_errors_are_fatal
- - notification_frag_verbose
- - notification_item_centerprinttime
- - notification_lifetime_mapload
- - notification_lifetime_runtime
- - notification_server_allows_frag_verbose
- - notification_server_allows_location
- - notification_show_location
- - notification_show_location_string
- - notification_show_sprees
- - notification_show_sprees_center
- - notification_show_sprees_center_specialonly
- - notification_show_sprees_info
- - notification_show_sprees_info_newline
- - notification_show_sprees_info_specialonly
- - pausable
- - physics_ode
- - physics_ode_allowconvex
- - physics_ode_autodisable
- - physics_ode_autodisable_steps
- - physics_ode_autodisable_threshold_angular
- - physics_ode_autodisable_threshold_linear
- - physics_ode_autodisable_threshold_samples
- - physics_ode_autodisable_time
- - physics_ode_constantstep
- - physics_ode_contact_cfm
- - physics_ode_contact_erp
- - physics_ode_contact_maxpoints
- - physics_ode_contact_mu
- - physics_ode_contactsurfacelayer
- - physics_ode_iterationsperframe
- - physics_ode_movelimit
- - physics_ode_printstats
- - physics_ode_quadtree_depth
- - physics_ode_spinlimit
- - physics_ode_trick_fixnan
- - physics_ode_world_cfm
- - physics_ode_world_damping
- - physics_ode_world_damping_angular
- - physics_ode_world_damping_angular_threshold
- - physics_ode_world_damping_linear
- - physics_ode_world_damping_linear_threshold
- - physics_ode_world_erp
- - physics_ode_world_gravitymod
- - physics_ode_worldstep_iterations
- - port
- - pr_checkextension
- - prvm_backtraceforwarnings
- - prvm_breakpointdump
- - prvm_errordump
- - prvm_language
- - prvm_leaktest
- - prvm_leaktest_ignore_classnames
- - prvm_reuseedicts_neverinsameframe
- - prvm_reuseedicts_startuptime
- - prvm_statementprofiling
- - prvm_timeprofiling
- - prvm_traceqc
- - qport
- - quit_and_redirect
- - quit_and_redirect_timer
- - quit_when_empty
- - r_ambient
- - r_batch_debugdynamicvertexpath
- - r_batch_dynamicbuffer
- - r_batch_multidraw
- - r_batch_multidraw_mintriangles
- - r_bloom
- - r_bloom_blur
- - r_bloom_brighten
- - r_bloom_colorexponent
- - r_bloom_colorscale
- - r_bloom_colorsubtract
- - r_bloom_resolution
- - r_bloom_scenebrightness
- - r_bufferdatasize_index16
- - r_bufferdatasize_index32
- - r_bufferdatasize_uniform
- - r_bufferdatasize_vertex
- - r_celoutlines
- - r_celshading
- - r_colormap_palette
- - r_coronas
- - r_coronas_occlusionquery
- - r_coronas_occlusionsizescale
- - r_cullentities_trace
- - r_cullentities_trace_delay
- - r_cullentities_trace_enlarge
- - r_cullentities_trace_samples
- - r_cullentities_trace_tempentitysamples
- - r_damageblur
- - r_deformvertexes
- - r_depthfirst
- - r_draw2d
- - r_drawdecals
- - r_drawdecals_drawdistance
- - r_draweffects
- - r_drawentities
- - r_drawexplosions
- - r_drawexteriormodel
- - r_drawfog
- - r_drawparticles
- - r_drawparticles_drawdistance
- - r_drawparticles_nearclip_max
- - r_drawparticles_nearclip_min
- - r_drawportals
- - r_drawviewmodel
- - r_drawworld
- - r_dynamic
- - r_editlights
- - r_editlights_current_ambient
- - r_editlights_current_angles
- - r_editlights_current_color
- - r_editlights_current_corona
- - r_editlights_current_coronasize
- - r_editlights_current_cubemap
- - r_editlights_current_diffuse
- - r_editlights_current_normalmode
- - r_editlights_current_origin
- - r_editlights_current_radius
- - r_editlights_current_realtimemode
- - r_editlights_current_shadows
- - r_editlights_current_specular
- - r_editlights_current_style
- - r_editlights_cursordistance
- - r_editlights_cursorgrid
- - r_editlights_cursorpushback
- - r_editlights_cursorpushoff
- - r_editlights_drawproperties
- - r_editlights_quakelightsizescale
- - r_enableshadowvolumes
- - r_equalize_entities_by
- - r_equalize_entities_fullbright
- - r_equalize_entities_minambient
- - r_equalize_entities_to
- - r_explosionclip
- - r_fakelight
- - r_fakelight_intensity
- - r_farclip_base
- - r_farclip_world
- - r_fixtrans_auto
- - r_fog_clear
- - r_fog_exp2
- - r_font_antialias
- - r_font_compress
- - r_font_disable_freetype
- - r_font_diskcache
- - r_font_hinting
- - r_font_kerning
- - r_font_nonpoweroftwo
- - r_font_postprocess_blur
- - r_font_postprocess_outline
- - r_font_postprocess_shadow_x
- - r_font_postprocess_shadow_y
- - r_font_postprocess_shadow_z
- - r_font_size_snapping
- - r_font_use_alpha_textures
- - r_framedatasize
- - r_fullbright
- - r_fullbrights
- - r_glsl
- - r_glsl_deluxemapping
- - r_glsl_offsetmapping
- - r_glsl_offsetmapping_lod
- - r_glsl_offsetmapping_lod_distance
- - r_glsl_offsetmapping_reliefmapping
- - r_glsl_offsetmapping_reliefmapping_refinesteps
- - r_glsl_offsetmapping_reliefmapping_steps
- - r_glsl_offsetmapping_scale
- - r_glsl_offsetmapping_steps
- - r_glsl_postprocess
- - r_glsl_postprocess_uservec1
- - r_glsl_postprocess_uservec1_enable
- - r_glsl_postprocess_uservec2
- - r_glsl_postprocess_uservec2_enable
- - r_glsl_postprocess_uservec3
- - r_glsl_postprocess_uservec3_enable
- - r_glsl_postprocess_uservec4
- - r_glsl_postprocess_uservec4_enable
- - r_glsl_saturation
- - r_glsl_saturation_redcompensate
- - r_glsl_skeletal
- - r_glsl_vertextextureblend_usebothalphas
- - r_hdr_glowintensity
- - r_hdr_irisadaptation
- - r_hdr_irisadaptation_fade_down
- - r_hdr_irisadaptation_fade_up
- - r_hdr_irisadaptation_maxvalue
- - r_hdr_irisadaptation_minvalue
- - r_hdr_irisadaptation_multiplier
- - r_hdr_irisadaptation_radius
- - r_hdr_irisadaptation_value
- - r_hdr_scenebrightness
- - r_labelsprites_roundtopixels
- - r_labelsprites_scale
- - r_lerpimages
- - r_lerplightstyles
- - r_lerpmodels
- - r_lerpsprites
- - r_letterbox
- - r_lightningbeam_color_blue
- - r_lightningbeam_color_green
- - r_lightningbeam_color_red
- - r_lightningbeam_qmbtexture
- - r_lightningbeam_repeatdistance
- - r_lightningbeam_scroll
- - r_lightningbeam_thickness
- - r_lockpvs
- - r_lockvisibility
- - r_mipnormalmaps
- - r_mipskins
- - r_mipsprites
- - r_motionblur
- - r_motionblur_averaging
- - r_motionblur_maxblur
- - r_motionblur_minblur
- - r_motionblur_mousefactor
- - r_motionblur_mousefactor_maxspeed
- - r_motionblur_mousefactor_minspeed
- - r_motionblur_randomize
- - r_motionblur_velocityfactor
- - r_motionblur_velocityfactor_maxspeed
- - r_motionblur_velocityfactor_minspeed
- - r_nearclip
- - r_nearest_2d
- - r_nearest_conchars
- - r_nosurftextures
- - r_novis
- - r_overheadsprites_perspective
- - r_overheadsprites_pushback
- - r_overheadsprites_scalex
- - r_overheadsprites_scaley
- - r_picmipsprites
- - r_picmipworld
- - r_polygonoffset_decals_factor
- - r_polygonoffset_decals_offset
- - r_polygonoffset_submodel_factor
- - r_polygonoffset_submodel_offset
- - r_q1bsp_skymasking
- - r_q3bsp_renderskydepth
- - r_render
- - r_renderview
- - r_shadow_bouncegrid
- - r_shadow_bouncegrid_bounceanglediffuse
- - r_shadow_bouncegrid_directionalshading
- - r_shadow_bouncegrid_dlightparticlemultiplier
- - r_shadow_bouncegrid_hitmodels
- - r_shadow_bouncegrid_includedirectlighting
- - r_shadow_bouncegrid_intensity
- - r_shadow_bouncegrid_lightradiusscale
- - r_shadow_bouncegrid_maxbounce
- - r_shadow_bouncegrid_particlebounceintensity
- - r_shadow_bouncegrid_particleintensity
- - r_shadow_bouncegrid_photons
- - r_shadow_bouncegrid_spacing
- - r_shadow_bouncegrid_stablerandom
- - r_shadow_bouncegrid_static
- - r_shadow_bouncegrid_static_directionalshading
- - r_shadow_bouncegrid_static_lightradiusscale
- - r_shadow_bouncegrid_static_maxbounce
- - r_shadow_bouncegrid_static_photons
- - r_shadow_bouncegrid_updateinterval
- - r_shadow_bouncegrid_x
- - r_shadow_bouncegrid_y
- - r_shadow_bouncegrid_z
- - r_shadow_bumpscale_basetexture
- - r_shadow_bumpscale_bumpmap
- - r_shadow_debuglight
- - r_shadow_deferred
- - r_shadow_frontsidecasting
- - r_shadow_gloss
- - r_shadow_gloss2exponent
- - r_shadow_gloss2intensity
- - r_shadow_glossexact
- - r_shadow_glossexponent
- - r_shadow_glossintensity
- - r_shadow_lightattenuationdividebias
- - r_shadow_lightattenuationlinearscale
- - r_shadow_lightintensityscale
- - r_shadow_lightradiusscale
- - r_shadow_polygonfactor
- - r_shadow_polygonoffset
- - r_shadow_projectdistance
- - r_shadow_realtime_dlight
- - r_shadow_realtime_dlight_portalculling
- - r_shadow_realtime_dlight_shadows
- - r_shadow_realtime_dlight_svbspculling
- - r_shadow_realtime_world
- - r_shadow_realtime_world_compile
- - r_shadow_realtime_world_compileportalculling
- - r_shadow_realtime_world_compileshadow
- - r_shadow_realtime_world_compilesvbsp
- - r_shadow_realtime_world_lightmaps
- - r_shadow_realtime_world_shadows
- - r_shadow_scissor
- - r_shadow_shadowmapping
- - r_shadow_shadowmapping_bias
- - r_shadow_shadowmapping_bordersize
- - r_shadow_shadowmapping_depthbits
- - r_shadow_shadowmapping_filterquality
- - r_shadow_shadowmapping_maxsize
- - r_shadow_shadowmapping_minsize
- - r_shadow_shadowmapping_nearclip
- - r_shadow_shadowmapping_polygonfactor
- - r_shadow_shadowmapping_polygonoffset
- - r_shadow_shadowmapping_precision
- - r_shadow_shadowmapping_useshadowsampler
- - r_shadow_shadowmapping_vsdct
- - r_shadow_sortsurfaces
- - r_shadow_texture3d
- - r_shadow_usebihculling
- - r_shadow_usenormalmap
- - r_shadows
- - r_shadows_castfrombmodels
- - r_shadows_darken
- - r_shadows_drawafterrtlighting
- - r_shadows_focus
- - r_shadows_shadowmapbias
- - r_shadows_shadowmapscale
- - r_shadows_throwdirection
- - r_shadows_throwdistance
- - r_showbboxes
- - r_showcollisionbrushes
- - r_showcollisionbrushes_polygonfactor
- - r_showcollisionbrushes_polygonoffset
- - r_showdisabledepthtest
- - r_showlighting
- - r_shownormals
- - r_showoverdraw
- - r_showshadowvolumes
- - r_showsurfaces
- - r_showtris
- - r_skeletal_debugbone
- - r_skeletal_debugbonecomponent
- - r_skeletal_debugbonevalue
- - r_skeletal_debugtranslatex
- - r_skeletal_debugtranslatey
- - r_skeletal_debugtranslatez
- - r_skeletal_use_sse
- - r_sky
- - r_skyscroll1
- - r_skyscroll2
- - r_smoothnormals_areaweighting
- - r_sortentities
- - r_speeds
- - r_speeds_graph
- - r_speeds_graph_filter_b
- - r_speeds_graph_filter_c
- - r_speeds_graph_filter_g
- - r_speeds_graph_filter_m
- - r_speeds_graph_filter_o
- - r_speeds_graph_filter_r
- - r_speeds_graph_filter_w
- - r_speeds_graph_filter_y
- - r_speeds_graph_height
- - r_speeds_graph_length
- - r_speeds_graph_seconds
- - r_speeds_graph_width
- - r_speeds_graph_x
- - r_speeds_graph_y
- - r_stereo_angle
- - r_stereo_horizontal
- - r_stereo_redblue
- - r_stereo_redcyan
- - r_stereo_redgreen
- - r_stereo_separation
- - r_stereo_sidebyside
- - r_stereo_vertical
- - r_subdivisions_collision_maxtess
- - r_subdivisions_collision_maxvertices
- - r_subdivisions_collision_mintess
- - r_subdivisions_collision_tolerance
- - r_subdivisions_maxtess
- - r_subdivisions_maxvertices
- - r_subdivisions_mintess
- - r_subdivisions_tolerance
- - r_test
- - r_textbrightness
- - r_textcontrast
- - r_textshadow
- - r_texture_dds_load
- - r_texture_dds_load_alphamode
- - r_texture_dds_load_logfailure
- - r_texture_dds_save
- - r_texture_dds_swdecode
- - r_texture_jpeg_fastpicmip
- - r_textureunits
- - r_track_sprites
- - r_track_sprites_flags
- - r_track_sprites_scaleh
- - r_track_sprites_scalew
- - r_transparent
- - r_transparent_alphatocoverage
- - r_transparent_sortarraysize
- - r_transparent_sortmaxdist
- - r_transparent_sortmindist
- - r_transparent_sortsurfacesbynearest
- - r_transparent_useplanardistance
- - r_transparentdepthmasking
- - r_trippy
- - r_usedepthtextures
- - r_useinfinitefarclip
- - r_useportalculling
- - r_usesurfaceculling
- - r_viewfbo
- - r_viewscale
- - r_viewscale_fpsscaling
- - r_viewscale_fpsscaling_min
- - r_viewscale_fpsscaling_multiply
- - r_viewscale_fpsscaling_stepmax
- - r_viewscale_fpsscaling_stepsize
- - r_viewscale_fpsscaling_target
- - r_water
- - r_water_clippingplanebias
- - r_water_fbo
- - r_water_hideplayer
- - r_water_lowquality
- - r_water_reflectdistort
- - r_water_refractdistort
- - r_water_resolutionmultiplier
- - r_water_scissormode
- - r_wateralpha
- - r_waterscroll
- - r_waterwarp
- - rcon_address
- - rcon_password
- - rcon_restricted_commands
- - rcon_restricted_password
- - rcon_secure
- - rcon_secure_challengetimeout
- - rcon_secure_maxdiff
- - registered
- - rescan_pending
- - samelevel
- - saved1
- - saved2
- - saved3
- - saved4
- - savedgamecfg
- - sbar_alpha_bg
- - sbar_alpha_fg
- - sbar_flagstatus_pos
- - sbar_flagstatus_right
- - sbar_gametime
- - sbar_hudselector
- - sbar_info_pos
- - sbar_miniscoreboard_size
- - sbar_scorerank
- - scoreboard_accuracy
- - scoreboard_accuracy_border_thickness
- - scoreboard_accuracy_doublerows
- - scoreboard_accuracy_nocolors
- - scoreboard_alpha_bg
- - scoreboard_alpha_fg
- - scoreboard_alpha_name
- - scoreboard_alpha_name_self
- - scoreboard_bg_scale
- - scoreboard_border_thickness
- - scoreboard_color_bg_b
- - scoreboard_color_bg_g
- - scoreboard_color_bg_r
- - scoreboard_color_bg_team
- - scoreboard_columns
- - scoreboard_fadeinspeed
- - scoreboard_fadeoutspeed
- - scoreboard_highlight
- - scoreboard_highlight_alpha
- - scoreboard_highlight_alpha_self
- - scoreboard_offset_left
- - scoreboard_offset_right
- - scoreboard_offset_vertical
- - scoreboard_respawntime_decimals
- - scr_centertime
- - scr_conalpha
- - scr_conalpha2factor
- - scr_conalpha3factor
- - scr_conalphafactor
- - scr_conbrightness
- - scr_conforcewhiledisconnected
- - scr_conscroll2_x
- - scr_conscroll2_y
- - scr_conscroll3_x
- - scr_conscroll3_y
- - scr_conscroll_x
- - scr_conscroll_y
- - scr_infobar_height
- - scr_loadingscreen_background
- - scr_loadingscreen_barcolor
- - scr_loadingscreen_barheight
- - scr_loadingscreen_count
- - scr_loadingscreen_firstforstartup
- - scr_loadingscreen_maxfps
- - scr_loadingscreen_picture
- - scr_loadingscreen_scale
- - scr_loadingscreen_scale_base
- - scr_loadingscreen_scale_limit
- - scr_menuforcewhiledisconnected
- - scr_printspeed
- - scr_refresh
- - scr_screenshot_alpha
- - scr_screenshot_gammaboost
- - scr_screenshot_hwgamma
- - scr_screenshot_jpeg
- - scr_screenshot_jpeg_quality
- - scr_screenshot_name
- - scr_screenshot_name_in_mapdir
- - scr_screenshot_png
- - scr_screenshot_timestamp
- - scr_stipple
- - scratch1
- - scratch2
- - scratch3
- - scratch4
- - sensitivity
- - serverconfig
- - sessionid
- - showblur
- - showbrand
- - showdate
- - showdate_format
- - showfps
- - shownetgraph
- - showpause
- - showram
- - showsound
- - showspeed
- - showtex
- - showtime
- - showtime_format
- - showtopspeed
- - showturtle
- - skill
- - skill_auto
- - skin
- - slowmo
- - snd_attenuation_decibel
- - snd_attenuation_exponent
- - snd_channel0volume
- - snd_channel1volume
- - snd_channel2volume
- - snd_channel3volume
- - snd_channel4volume
- - snd_channel5volume
- - snd_channel6volume
- - snd_channel7volume
- - snd_channel8volume
- - snd_channel9volume
- - snd_channellayout
- - snd_channels
- - snd_csqcchannel0volume
- - snd_csqcchannel1volume
- - snd_csqcchannel2volume
- - snd_csqcchannel3volume
- - snd_csqcchannel4volume
- - snd_csqcchannel5volume
- - snd_csqcchannel6volume
- - snd_csqcchannel7volume
- - snd_entchannel0volume
- - snd_entchannel1volume
- - snd_entchannel2volume
- - snd_entchannel3volume
- - snd_entchannel4volume
- - snd_entchannel5volume
- - snd_entchannel6volume
- - snd_entchannel7volume
- - snd_identicalsoundrandomization_tics
- - snd_identicalsoundrandomization_time
- - snd_initialized
- - snd_maxchannelvolume
- - snd_mutewhenidle
- - snd_noextraupdate
- - snd_playerchannel0volume
- - snd_playerchannel1volume
- - snd_playerchannel2volume
- - snd_playerchannel3volume
- - snd_playerchannel4volume
- - snd_playerchannel5volume
- - snd_playerchannel6volume
- - snd_playerchannel7volume
- - snd_precache
- - snd_show
- - snd_softclip
- - snd_soundradius
- - snd_spatialization_control
- - snd_spatialization_max
- - snd_spatialization_max_radius
- - snd_spatialization_min
- - snd_spatialization_min_radius
- - snd_spatialization_occlusion
- - snd_spatialization_power
- - snd_spatialization_prologic
- - snd_spatialization_prologic_frontangle
- - snd_speed
- - snd_startloopingsounds
- - snd_startnonloopingsounds
- - snd_staticvolume
- - snd_streaming
- - snd_streaming_length
- - snd_swapstereo
- - snd_width
- - snd_worldchannel0volume
- - snd_worldchannel1volume
- - snd_worldchannel2volume
- - snd_worldchannel3volume
- - snd_worldchannel4volume
- - snd_worldchannel5volume
- - snd_worldchannel6volume
- - snd_worldchannel7volume
- - spawn_debug
- - spawn_debugview
- - speedmeter
- - sv_accelerate
- - sv_accuracy_data_send
- - sv_accuracy_data_share
- - sv_adminnick
- - sv_aim
- - sv_airaccel_qw
- - sv_airaccel_qw_stretchfactor
- - sv_airaccel_sideways_friction
- - sv_airaccelerate
- - sv_aircontrol
- - sv_aircontrol_penalty
- - sv_aircontrol_power
- - sv_airspeedlimit_nonqw
- - sv_airstopaccelerate
- - sv_airstrafeaccel_qw
- - sv_airstrafeaccelerate
- - sv_allow_fullbright
- - sv_allowdownloads
- - sv_allowdownloads_archive
- - sv_allowdownloads_config
- - sv_allowdownloads_dlcache
- - sv_allowdownloads_inarchive
- - sv_areadebug
- - sv_areagrid_mingridsize
- - sv_autodemo_perclient
- - sv_autodemo_perclient_discardable
- - sv_autodemo_perclient_nameformat
- - sv_autoscreenshot
- - sv_autotaunt
- - sv_cheats
- - sv_checkforpacketsduringsleep
- - sv_clientcommand_antispam_count
- - sv_clientcommand_antispam_time
- - sv_clmovement_enable
- - sv_clmovement_inputtimeout
- - sv_clmovement_minping
- - sv_clmovement_minping_disabletime
- - sv_clones
- - sv_cullentities_nevercullbmodels
- - sv_cullentities_pvs
- - sv_cullentities_stats
- - sv_cullentities_trace
- - sv_cullentities_trace_delay
- - sv_cullentities_trace_delay_players
- - sv_cullentities_trace_enlarge
- - sv_cullentities_trace_entityocclusion
- - sv_cullentities_trace_prediction
- - sv_cullentities_trace_prediction_time
- - sv_cullentities_trace_samples
- - sv_cullentities_trace_samples_extra
- - sv_cullentities_trace_samples_players
- - sv_curl_defaulturl
- - sv_curl_maxspeed
- - sv_curl_serverpackages
- - sv_curl_serverpackages_auto
- - sv_db_saveasdump
- - sv_debugmove
- - sv_defaultcharacter
- - sv_defaultplayercolors
- - sv_defaultplayermodel
- - sv_defaultplayermodel_blue
- - sv_defaultplayermodel_pink
- - sv_defaultplayermodel_red
- - sv_defaultplayermodel_yellow
- - sv_defaultplayerskin
- - sv_defaultplayerskin_blue
- - sv_defaultplayerskin_pink
- - sv_defaultplayerskin_red
- - sv_defaultplayerskin_yellow
- - sv_disablenotify
- - sv_dodging_delay
- - sv_dodging_height_threshold
- - sv_dodging_horiz_speed
- - sv_dodging_ramp_time
- - sv_dodging_sound
- - sv_dodging_up_speed
- - sv_dodging_wall_distance_threshold
- - sv_dodging_wall_dodging
- - sv_doublejump
- - sv_echobprint
- - sv_entpatch
- - sv_eventlog
- - sv_eventlog_console
- - sv_eventlog_files
- - sv_eventlog_files_counter
- - sv_eventlog_files_nameprefix
- - sv_eventlog_files_namesuffix
- - sv_eventlog_files_timestamps
- - sv_fixedframeratesingleplayer
- - sv_foginterval
- - sv_fraginfo
- - sv_fraginfo_handicap
- - sv_fraginfo_ping
- - sv_fraginfo_stats
- - sv_freezenonclients
- - sv_friction
- - sv_friction_on_land
- - sv_gameplayfix_blowupfallenzombies
- - sv_gameplayfix_consistentplayerprethink
- - sv_gameplayfix_delayprojectiles
- - sv_gameplayfix_downtracesupportsongroundflag
- - sv_gameplayfix_droptofloorstartsolid
- - sv_gameplayfix_droptofloorstartsolid_nudgetocorrect
- - sv_gameplayfix_easierwaterjump
- - sv_gameplayfix_findradiusdistancetobox
- - sv_gameplayfix_fixedcheckwatertransition
- - sv_gameplayfix_gravityunaffectedbyticrate
- - sv_gameplayfix_grenadebouncedownslopes
- - sv_gameplayfix_multiplethinksperframe
- - sv_gameplayfix_noairborncorpse
- - sv_gameplayfix_noairborncorpse_allowsuspendeditems
- - sv_gameplayfix_nogravityonground
- - sv_gameplayfix_nostepmoveonsteepslopes
- - sv_gameplayfix_nudgeoutofsolid
- - sv_gameplayfix_nudgeoutofsolid_separation
- - sv_gameplayfix_q1bsptracelinereportstexture
- - sv_gameplayfix_q2airaccelerate
- - sv_gameplayfix_setmodelrealbox
- - sv_gameplayfix_slidemoveprojectiles
- - sv_gameplayfix_stepdown
- - sv_gameplayfix_stepmultipletimes
- - sv_gameplayfix_swiminbmodels
- - sv_gameplayfix_unstickentities
- - sv_gameplayfix_unstickplayers
- - sv_gameplayfix_upwardvelocityclearsongroundflag
- - sv_gentle
- - sv_gibhealth
- - sv_gravity
- - sv_heartbeatperiod
- - sv_idealpitchscale
- - sv_intermission_cdtrack
- - sv_join_notices
- - sv_join_notices_time
- - sv_jumpspeedcap_max
- - sv_jumpspeedcap_max_disable_on_ramps
- - sv_jumpspeedcap_min
- - sv_jumpstep
- - sv_jumpvelocity
- - sv_logscores_bots
- - sv_logscores_console
- - sv_logscores_file
- - sv_logscores_filename
- - sv_mapchange_delay
- - sv_master1
- - sv_master2
- - sv_master3
- - sv_master4
- - sv_masterextra1
- - sv_masterextra2
- - sv_masterextra3
- - sv_maxairspeed
- - sv_maxairstrafespeed
- - sv_maxidle
- - sv_maxidle_spectatorsareidle
- - sv_maxrate
- - sv_maxspeed
- - sv_maxvelocity
- - sv_motd
- - sv_namechangetimer
- - sv_nostep
- - sv_onlycsqcnetworking
- - sv_player_crouch_maxs
- - sv_player_crouch_mins
- - sv_player_crouch_viewoffset
- - sv_player_headsize
- - sv_player_maxs
- - sv_player_mins
- - sv_player_viewoffset
- - sv_playerphysicsqc
- - sv_precacheitems
- - sv_precacheplayermodels
- - sv_precacheweapons
- - sv_progs
- - sv_protocolname
- - sv_public
- - sv_public_rejectreason
- - sv_q3acompat_machineshotgunswap
- - sv_random_seed
- - sv_ratelimitlocalplayer
- - sv_ready_restart
- - sv_ready_restart_after_countdown
- - sv_ready_restart_repeatable
- - sv_servermodelsonly
- - sv_shownames_cull_distance
- - sv_simple_items
- - sv_sound_land
- - sv_sound_watersplash
- - sv_spectate
- - sv_spectator_speed_multiplier
- - sv_status_privacy
- - sv_status_show_qcstatus
- - sv_stepheight
- - sv_stopspeed
- - sv_strengthsound_antispam_refire_threshold
- - sv_strengthsound_antispam_time
- - sv_taunt
- - sv_teamnagger
- - sv_threaded
- - sv_timeout
- - sv_timeout_leadtime
- - sv_timeout_length
- - sv_timeout_number
- - sv_timeout_resumetime
- - sv_vote_call
- - sv_vote_change
- - sv_vote_command_restriction_allready
- - sv_vote_command_restriction_chmap
- - sv_vote_command_restriction_cointoss
- - sv_vote_command_restriction_endmatch
- - sv_vote_command_restriction_extendmatchtime
- - sv_vote_command_restriction_fraglimit
- - sv_vote_command_restriction_gotomap
- - sv_vote_command_restriction_kick
- - sv_vote_command_restriction_kickban
- - sv_vote_command_restriction_movetoauto
- - sv_vote_command_restriction_movetoblue
- - sv_vote_command_restriction_movetopink
- - sv_vote_command_restriction_movetored
- - sv_vote_command_restriction_movetoyellow
- - sv_vote_command_restriction_nextmap
- - sv_vote_command_restriction_reducematchtime
- - sv_vote_command_restriction_restart
- - sv_vote_commands
- - sv_vote_gamestart
- - sv_vote_limit
- - sv_vote_majority_factor
- - sv_vote_majority_factor_of_voted
- - sv_vote_master
- - sv_vote_master_callable
- - sv_vote_master_commands
- - sv_vote_master_password
- - sv_vote_master_playerlimit
- - sv_vote_no_stops_vote
- - sv_vote_nospectators
- - sv_vote_only_commands
- - sv_vote_override_mostrecent
- - sv_vote_singlecount
- - sv_vote_stop
- - sv_vote_timeout
- - sv_vote_wait
- - sv_wallfriction
- - sv_warsowbunny_accel
- - sv_warsowbunny_airforwardaccel
- - sv_warsowbunny_backtosideratio
- - sv_warsowbunny_topspeed
- - sv_warsowbunny_turnaccel
- - sv_wateraccelerate
- - sv_waterfriction
- - sv_waypointsprite_deadlifetime
- - sv_waypointsprite_deployed_lifetime
- - sv_waypointsprite_limitedrange
- - sv_weaponstats_file
- - sv_worldbasename
- - sv_worldmessage
- - sv_worldname
- - sv_worldnamenoextension
- - sv_writepicture_quality
- - sys_colortranslation
- - sys_debugsleep
- - sys_memsize_physical
- - sys_memsize_virtual
- - sys_specialcharactertranslation
- - sys_ticrate
- - sys_useclockgettime
- - sys_usenoclockbutbenchmark
- - team
- - teamplay
- - teamplay_lockonrestart
- - teamplay_mode
- - temp1
- - the_goggles
- - timedemo_screenshotframelist
- - timeformat
- - timelimit
- - timelimit_decrement
- - timelimit_increment
- - timelimit_max
- - timelimit_min
- - timelimit_override
- - timelimit_overtime
- - timelimit_overtimes
- - timelimit_suddendeath
- - timestamps
- - userbind10_description
- - userbind10_press
- - userbind10_release
- - userbind11_description
- - userbind11_press
- - userbind11_release
- - userbind12_description
- - userbind12_press
- - userbind12_release
- - userbind13_description
- - userbind13_press
- - userbind13_release
- - userbind14_description
- - userbind14_press
- - userbind14_release
- - userbind15_description
- - userbind15_press
- - userbind15_release
- - userbind16_description
- - userbind16_press
- - userbind16_release
- - userbind17_description
- - userbind17_press
- - userbind17_release
- - userbind18_description
- - userbind18_press
- - userbind18_release
- - userbind19_description
- - userbind19_press
- - userbind19_release
- - userbind1_description
- - userbind1_press
- - userbind1_release
- - userbind20_description
- - userbind20_press
- - userbind20_release
- - userbind21_description
- - userbind21_press
- - userbind21_release
- - userbind22_description
- - userbind22_press
- - userbind22_release
- - userbind23_description
- - userbind23_press
- - userbind23_release
- - userbind24_description
- - userbind24_press
- - userbind24_release
- - userbind25_description
- - userbind25_press
- - userbind25_release
- - userbind26_description
- - userbind26_press
- - userbind26_release
- - userbind27_description
- - userbind27_press
- - userbind27_release
- - userbind28_description
- - userbind28_press
- - userbind28_release
- - userbind29_description
- - userbind29_press
- - userbind29_release
- - userbind2_description
- - userbind2_press
- - userbind2_release
- - userbind30_description
- - userbind30_press
- - userbind30_release
- - userbind31_description
- - userbind31_press
- - userbind31_release
- - userbind32_description
- - userbind32_press
- - userbind32_release
- - userbind3_description
- - userbind3_press
- - userbind3_release
- - userbind4_description
- - userbind4_press
- - userbind4_release
- - userbind5_description
- - userbind5_press
- - userbind5_release
- - userbind6_description
- - userbind6_press
- - userbind6_release
- - userbind7_description
- - userbind7_press
- - userbind7_release
- - userbind8_description
- - userbind8_press
- - userbind8_release
- - userbind9_description
- - userbind9_press
- - userbind9_release
- - utf8_enable
- - v_brightness
- - v_centermove
- - v_centerspeed
- - v_color_black_b
- - v_color_black_g
- - v_color_black_r
- - v_color_enable
- - v_color_grey_b
- - v_color_grey_g
- - v_color_grey_r
- - v_color_white_b
- - v_color_white_g
- - v_color_white_r
- - v_contrast
- - v_contrastboost
- - v_deathtilt
- - v_deathtiltangle
- - v_flipped
- - v_gamma
- - v_glslgamma
- - v_glslgamma_2d
- - v_glslgamma_video
- - v_hwgamma
- - v_idlescale
- - v_ipitch_cycle
- - v_ipitch_level
- - v_iroll_cycle
- - v_iroll_level
- - v_iyaw_cycle
- - v_iyaw_level
- - v_kickpitch
- - v_kickroll
- - v_kicktime
- - v_psycho
- - vid_bitsperpixel
- - vid_conheight
- - vid_conwidth
- - vid_fullscreen
- - vid_gl13
- - vid_gl20
- - vid_grabkeyboard
- - vid_hardwaregammasupported
- - vid_height
- - vid_minheight
- - vid_minwidth
- - vid_mouse
- - vid_netwmfullscreen
- - vid_pixelheight
- - vid_refreshrate
- - vid_resizable
- - vid_sRGB
- - vid_sRGB_fallback
- - vid_samples
- - vid_soft
- - vid_soft_interlace
- - vid_soft_threads
- - vid_stereobuffer
- - vid_stick_mouse
- - vid_touchscreen
- - vid_touchscreen_outlinealpha
- - vid_touchscreen_overlayalpha
- - vid_userefreshrate
- - vid_vsync
- - vid_width
- - vid_x11_display
- - viewsize
- - volume
- - waypoint_benchmark
- - welcome_message_time
- - _allow_unacceptable_compiler_bugs
- - _angles
- - _backup_con_chatvars_set
- - _campaign_index
- - _campaign_name
- - _campaign_testrun
- - _cl_color
- - _cl_name
- - _cl_playermodel
- - _cl_playerskin
- - _cl_pmodel
- - _cl_rate
- - _con_chat_maximized
- - _hud_configure
- - _hud_panelorder
- - _hud_showbinds_reload
- - _independent_players
- - _isalnum
- - _isalpha
- - _isascii
- - _isblank
- - _iscntrl
- - _isdigit
- - _isgraph
- - _islower
- - _isprint
- - _ispunct
- - _isspace
- - _isupper
- - _isxdigit
- - _menu_alpha
- - _menu_initialized
- - _menu_prvm_language
- - _menu_vid_height
- - _menu_vid_pixelheight
- - _menu_vid_width
- - _notarget
- - _origin
- - _snd_mixahead
- - _sv_init
- - _togglezoom
- - _urllib_nextslot
+ - accuracy_color0
+ - accuracy_color1
+ - accuracy_color2
+ - accuracy_color_levels
+ - ambient_fade
+ - ambient_level
+ - bgmvolume
+ - bot_ai_aimskill_blendrate
+ - bot_ai_aimskill_firetolerance_distdegrees
+ - bot_ai_aimskill_firetolerance_maxdegrees
+ - bot_ai_aimskill_firetolerance_mindegrees
+ - bot_ai_aimskill_fixedrate
+ - bot_ai_aimskill_mouse
+ - bot_ai_aimskill_offset
+ - bot_ai_aimskill_order_filter_1st
+ - bot_ai_aimskill_order_filter_2nd
+ - bot_ai_aimskill_order_filter_3th
+ - bot_ai_aimskill_order_filter_4th
+ - bot_ai_aimskill_order_filter_5th
+ - bot_ai_aimskill_order_mix_1st
+ - bot_ai_aimskill_order_mix_2nd
+ - bot_ai_aimskill_order_mix_3th
+ - bot_ai_aimskill_order_mix_4th
+ - bot_ai_aimskill_order_mix_5th
+ - bot_ai_aimskill_think
+ - bot_ai_bunnyhop_firstjumpdelay
+ - bot_ai_bunnyhop_skilloffset
+ - bot_ai_bunnyhop_startdistance
+ - bot_ai_bunnyhop_stopdistance
+ - bot_ai_chooseweaponinterval
+ - bot_ai_custom_weapon_priority_close
+ - bot_ai_custom_weapon_priority_distances
+ - bot_ai_custom_weapon_priority_far
+ - bot_ai_custom_weapon_priority_mid
+ - bot_ai_dangerdetectioninterval
+ - bot_ai_dangerdetectionupdates
+ - bot_ai_dodgeupdateinterval
+ - bot_ai_enemydetectioninterval
+ - bot_ai_enemydetectionradius
+ - bot_ai_friends_aware_pickup_radius
+ - bot_ai_ignoregoal_timeout
+ - bot_ai_keyboard_distance
+ - bot_ai_keyboard_threshold
+ - bot_ai_navigation_jetpack
+ - bot_ai_navigation_jetpack_mindistance
+ - bot_ai_strategyinterval
+ - bot_ai_thinkinterval
+ - bot_ai_weapon_combo
+ - bot_ai_weapon_combo_threshold
+ - bot_config_file
+ - bot_debug_goalstack
+ - bot_debug_tracewalk
+ - bot_god
+ - bot_ignore_bots
+ - bot_join_empty
+ - bot_navigation_ignoreplayers
+ - bot_nofire
+ - bot_number
+ - bot_prefix
+ - bot_sound_monopoly
+ - bot_suffix
+ - bot_usemodelnames
+ - bot_vs_human
+ - bot_wander_enable
+ - camera_chase_smoothly
+ - camera_enable
+ - camera_forward_follows
+ - camera_free
+ - camera_look_attenuation
+ - camera_look_player
+ - camera_mouse_threshold
+ - camera_reset
+ - camera_speed_attenuation
+ - camera_speed_chase
+ - camera_speed_free
+ - camera_speed_roll
+ - captureleadlimit_override
+ - capturelimit_override
+ - cdaudio
+ - cdaudioinitialized
+ - chase_active
+ - chase_back
+ - chase_overhead
+ - chase_pitchangle
+ - chase_stevie
+ - chase_up
+ - cl_accuracy_data_receive
+ - cl_accuracy_data_share
+ - cl_allow_uid2name
+ - cl_allow_uidtracking
+ - cl_anglespeedkey
+ - cl_announcer
+ - cl_announcer_antispam
+ - cl_announcer_maptime
+ - cl_autodemo
+ - cl_autodemo_delete
+ - cl_autodemo_delete_keeprecords
+ - cl_autodemo_nameformat
+ - cl_autoscreenshot
+ - cl_autoswitch
+ - cl_autotaunt
+ - cl_backspeed
+ - cl_beams_instantaimhack
+ - cl_beams_lightatend
+ - cl_beams_polygons
+ - cl_beams_quakepositionhack
+ - cl_bob
+ - cl_bob2
+ - cl_bob2cycle
+ - cl_bob2smooth
+ - cl_bobcycle
+ - cl_bobfall
+ - cl_bobfallcycle
+ - cl_bobfallminspeed
+ - cl_bobmodel
+ - cl_bobmodel_side
+ - cl_bobmodel_speed
+ - cl_bobmodel_up
+ - cl_bobup
+ - cl_capturevideo
+ - cl_capturevideo_demo_stop
+ - cl_capturevideo_fps
+ - cl_capturevideo_framestep
+ - cl_capturevideo_height
+ - cl_capturevideo_nameformat
+ - cl_capturevideo_number
+ - cl_capturevideo_ogg
+ - cl_capturevideo_ogg_theora_bitrate
+ - cl_capturevideo_ogg_theora_keyframe_auto_threshold
+ - cl_capturevideo_ogg_theora_keyframe_bitrate_multiplier
+ - cl_capturevideo_ogg_theora_keyframe_maxinterval
+ - cl_capturevideo_ogg_theora_keyframe_mininterval
+ - cl_capturevideo_ogg_theora_noise_sensitivity
+ - cl_capturevideo_ogg_theora_quality
+ - cl_capturevideo_ogg_theora_vp3compat
+ - cl_capturevideo_ogg_vorbis_quality
+ - cl_capturevideo_printfps
+ - cl_capturevideo_realtime
+ - cl_capturevideo_width
+ - cl_casings
+ - cl_casings_bronze_time
+ - cl_casings_maxcount
+ - cl_casings_shell_time
+ - cl_casings_sloppy
+ - cl_casings_ticrate
+ - cl_clippedspectating
+ - cl_csqc_generatemousemoveevents
+ - cl_curl_enabled
+ - cl_curl_maxdownloads
+ - cl_curl_maxspeed
+ - cl_curl_useragent
+ - cl_curl_useragent_append
+ - cl_damageeffect
+ - cl_damageeffect_bones
+ - cl_damageeffect_distribute
+ - cl_damageeffect_lifetime
+ - cl_damageeffect_lifetime_max
+ - cl_damageeffect_lifetime_min
+ - cl_damageeffect_ticrate
+ - cl_db_saveasdump
+ - cl_deathfade
+ - cl_deathglow
+ - cl_deathnoviewmodel
+ - cl_deathscoreboard
+ - cl_decals
+ - cl_decals_bias
+ - cl_decals_fadetime
+ - cl_decals_max
+ - cl_decals_models
+ - cl_decals_newsystem
+ - cl_decals_newsystem_bloodsmears
+ - cl_decals_newsystem_immediatebloodstain
+ - cl_decals_newsystem_intensitymultiplier
+ - cl_decals_time
+ - cl_decals_visculling
+ - cl_demo_mousegrab
+ - cl_dlights_decaybrightness
+ - cl_dlights_decayradius
+ - cl_dodging_timeout
+ - cl_effects_lightningarc_branchfactor_add
+ - cl_effects_lightningarc_branchfactor_start
+ - cl_effects_lightningarc_drift_end
+ - cl_effects_lightningarc_drift_start
+ - cl_effects_lightningarc_segmentlength
+ - cl_effects_lightningarc_simple
+ - cl_eventchase_death
+ - cl_eventchase_distance
+ - cl_eventchase_maxs
+ - cl_eventchase_mins
+ - cl_eventchase_speed
+ - cl_eventchase_viewoffset
+ - cl_explosions_alpha_end
+ - cl_explosions_alpha_start
+ - cl_explosions_lifetime
+ - cl_explosions_size_end
+ - cl_explosions_size_start
+ - cl_firststart
+ - cl_followmodel
+ - cl_followmodel_side_highpass
+ - cl_followmodel_side_highpass1
+ - cl_followmodel_side_limit
+ - cl_followmodel_side_lowpass
+ - cl_followmodel_side_speed
+ - cl_followmodel_up_highpass
+ - cl_followmodel_up_highpass1
+ - cl_followmodel_up_limit
+ - cl_followmodel_up_lowpass
+ - cl_followmodel_up_speed
+ - cl_forcemyplayercolors
+ - cl_forcemyplayermodel
+ - cl_forcemyplayerskin
+ - cl_forceplayercolors
+ - cl_forceplayermodels
+ - cl_forwardspeed
+ - cl_fullbright_items
+ - cl_gameplayfix_soundsmovewithentities
+ - cl_gentle
+ - cl_gentle_damage
+ - cl_gentle_gibs
+ - cl_gentle_messages
+ - cl_ghost_items
+ - cl_ghost_items_color
+ - cl_gibs_avelocity_scale
+ - cl_gibs_damageforcescale
+ - cl_gibs_lifetime
+ - cl_gibs_maxcount
+ - cl_gibs_sloppy
+ - cl_gibs_ticrate
+ - cl_gibs_velocity_random
+ - cl_gibs_velocity_scale
+ - cl_gibs_velocity_up
+ - cl_gravity
+ - cl_gunalign
+ - cl_handicap
+ - cl_hidewaypoints
+ - cl_hitsound
+ - cl_hitsound_antispam_time
+ - cl_iplog_name
+ - cl_itembobheight
+ - cl_itembobspeed
+ - cl_joinbeforedownloadsfinish
+ - cl_leanmodel
+ - cl_leanmodel_side_highpass
+ - cl_leanmodel_side_highpass1
+ - cl_leanmodel_side_limit
+ - cl_leanmodel_side_lowpass
+ - cl_leanmodel_side_speed
+ - cl_leanmodel_up_highpass
+ - cl_leanmodel_up_highpass1
+ - cl_leanmodel_up_limit
+ - cl_leanmodel_up_lowpass
+ - cl_leanmodel_up_speed
+ - cl_lerpanim_maxdelta_framegroups
+ - cl_lerpanim_maxdelta_server
+ - cl_lerpexcess
+ - cl_lockview
+ - cl_loddistance1
+ - cl_loddistance2
+ - cl_maxfps
+ - cl_maxfps_alwayssleep
+ - cl_maxidlefps
+ - cl_maxphysicsframesperserverframe
+ - cl_minfps
+ - cl_minfps_fade
+ - cl_minfps_force
+ - cl_minfps_qualityhysteresis
+ - cl_minfps_qualitymax
+ - cl_minfps_qualitymin
+ - cl_minfps_qualitymultiply
+ - cl_minfps_qualitystepmax
+ - cl_modeldetailreduction
+ - cl_movecliptokeyboard
+ - cl_movement
+ - cl_movement_accelerate
+ - cl_movement_airaccel_qw
+ - cl_movement_airaccel_sideways_friction
+ - cl_movement_airaccelerate
+ - cl_movement_edgefriction
+ - cl_movement_errorcompensation
+ - cl_movement_friction
+ - cl_movement_jumpvelocity
+ - cl_movement_maxairspeed
+ - cl_movement_maxspeed
+ - cl_movement_minping
+ - cl_movement_nettimeout
+ - cl_movement_replay
+ - cl_movement_stepheight
+ - cl_movement_stopspeed
+ - cl_movement_track_canjump
+ - cl_movement_wallfriction
+ - cl_movement_wateraccelerate
+ - cl_movement_waterfriction
+ - cl_movespeedkey
+ - cl_netfps
+ - cl_netimmediatebuttons
+ - cl_netlocalping
+ - cl_netpacketloss_receive
+ - cl_netpacketloss_send
+ - cl_netrepeatinput
+ - cl_nettimesyncboundmode
+ - cl_nettimesyncboundtolerance
+ - cl_nettimesyncfactor
+ - cl_noantilag
+ - cl_nodelta
+ - cl_nogibs
+ - cl_nolerp
+ - cl_noplayershadow
+ - cl_particlegibs
+ - cl_particles
+ - cl_particles_alpha
+ - cl_particles_blood
+ - cl_particles_blood_alpha
+ - cl_particles_blood_bloodhack
+ - cl_particles_blood_decal_alpha
+ - cl_particles_blood_decal_scalemax
+ - cl_particles_blood_decal_scalemin
+ - cl_particles_bubbles
+ - cl_particles_bulletimpacts
+ - cl_particles_collisions
+ - cl_particles_explosions_shell
+ - cl_particles_explosions_sparks
+ - cl_particles_oldnexbeam
+ - cl_particles_quake
+ - cl_particles_quality
+ - cl_particles_rain
+ - cl_particles_size
+ - cl_particles_smoke
+ - cl_particles_smoke_alpha
+ - cl_particles_smoke_alphafade
+ - cl_particles_snow
+ - cl_particles_sparks
+ - cl_particles_visculling
+ - cl_pitchspeed
+ - cl_playerdetailreduction
+ - cl_port
+ - cl_precacheplayermodels
+ - cl_projectiles_sloppy
+ - cl_prydoncursor
+ - cl_prydoncursor_notrace
+ - cl_readpicture_force
+ - cl_reticle
+ - cl_reticle_item_nex
+ - cl_reticle_item_normal
+ - cl_reticle_stretch
+ - cl_rollangle
+ - cl_rollspeed
+ - cl_sandbox_clipboard
+ - cl_serverextension_download
+ - cl_shownet
+ - cl_sidespeed
+ - cl_simple_items
+ - cl_simpleitems_postfix
+ - cl_smoothviewheight
+ - cl_sound_hknighthit
+ - cl_sound_r_exp3
+ - cl_sound_ric1
+ - cl_sound_ric2
+ - cl_sound_ric3
+ - cl_sound_ric_gunshot
+ - cl_sound_tink1
+ - cl_sound_wizardhit
+ - cl_spawn_event_particles
+ - cl_spawn_event_sound
+ - cl_spawn_point_particles
+ - cl_spawnzoom
+ - cl_spawnzoom_factor
+ - cl_spawnzoom_speed
+ - cl_stainmaps
+ - cl_stainmaps_clearonload
+ - cl_stairsmoothspeed
+ - cl_startcount
+ - cl_stripcolorcodes
+ - cl_unpress_attack_on_weapon_switch
+ - cl_unpress_zoom_on_death
+ - cl_unpress_zoom_on_spawn
+ - cl_unpress_zoom_on_weapon_switch
+ - cl_upspeed
+ - cl_vehicle_spiderbot_cross_alpha
+ - cl_vehicle_spiderbot_cross_size
+ - cl_vehicles_hud_tactical
+ - cl_vehicles_hudalpha
+ - cl_vehicles_hudscale
+ - cl_velocityzoom
+ - cl_velocityzoom_speed
+ - cl_velocityzoom_time
+ - cl_velocityzoom_type
+ - cl_video_brightness
+ - cl_video_fadein
+ - cl_video_fadeout
+ - cl_video_keepaspectratio
+ - cl_video_scale
+ - cl_video_scale_vpos
+ - cl_video_stipple
+ - cl_video_subtitles
+ - cl_video_subtitles_lines
+ - cl_video_subtitles_textsize
+ - cl_viewmodel_scale
+ - cl_voice_directional
+ - cl_voice_directional_taunt_attenuation
+ - cl_warpzone_usetrace
+ - cl_weapon_stay_alpha
+ - cl_weapon_stay_color
+ - cl_weaponimpulsemode
+ - cl_weaponpriority
+ - cl_weaponpriority0
+ - cl_weaponpriority1
+ - cl_weaponpriority2
+ - cl_weaponpriority3
+ - cl_weaponpriority4
+ - cl_weaponpriority5
+ - cl_weaponpriority6
+ - cl_weaponpriority7
+ - cl_weaponpriority8
+ - cl_weaponpriority9
+ - cl_weaponpriority_useforcycling
+ - cl_worldbasename
+ - cl_worldmessage
+ - cl_worldname
+ - cl_worldnamenoextension
+ - cl_yawspeed
+ - cl_zoomfactor
+ - cl_zoomsensitivity
+ - cl_zoomspeed
+ - cmdline
+ - collision_cache
+ - collision_debug_tracelineasbox
+ - collision_endnudge
+ - collision_endposnudge
+ - collision_enternudge
+ - collision_impactnudge
+ - collision_leavenudge
+ - collision_prefernudgedfraction
+ - collision_startnudge
+ - collision_triangle_axialsides
+ - collision_triangle_bevelsides
+ - con_chat
+ - con_chatpos
+ - con_chatrect
+ - con_chatrect_x
+ - con_chatrect_y
+ - con_chatsize
+ - con_chatsound
+ - con_chattime
+ - con_chatwidth
+ - con_closeontoggleconsole
+ - con_completion_chmap
+ - con_completion_devmap
+ - con_completion_exec
+ - con_completion_gotomap
+ - con_completion_playdemo
+ - con_completion_playermodel
+ - con_completion_ply
+ - con_completion_tdem
+ - con_completion_timedemo
+ - con_completion_vdomap
+ - con_completion_vmap
+ - con_completion_vnextmap
+ - con_nickcompletion
+ - con_nickcompletion_flags
+ - con_notify
+ - con_notifyalign
+ - con_notifysize
+ - con_notifytime
+ - con_textsize
+ - coop
+ - crosshair
+ - crosshair_alpha
+ - crosshair_color
+ - crosshair_color_alpha
+ - crosshair_color_blue
+ - crosshair_color_green
+ - crosshair_color_red
+ - crosshair_color_special
+ - crosshair_color_special_rainbow_brightness
+ - crosshair_color_special_rainbow_delay
+ - crosshair_crylink
+ - crosshair_crylink_alpha
+ - crosshair_crylink_color
+ - crosshair_crylink_size
+ - crosshair_dot
+ - crosshair_dot_alpha
+ - crosshair_dot_color
+ - crosshair_dot_color_custom
+ - crosshair_dot_size
+ - crosshair_effect_scalefade
+ - crosshair_effect_time
+ - crosshair_electro
+ - crosshair_electro_alpha
+ - crosshair_electro_color
+ - crosshair_electro_size
+ - crosshair_enabled
+ - crosshair_fireball
+ - crosshair_fireball_alpha
+ - crosshair_fireball_color
+ - crosshair_fireball_size
+ - crosshair_grenadelauncher
+ - crosshair_grenadelauncher_alpha
+ - crosshair_grenadelauncher_color
+ - crosshair_grenadelauncher_size
+ - crosshair_hagar
+ - crosshair_hagar_alpha
+ - crosshair_hagar_color
+ - crosshair_hagar_size
+ - crosshair_hitindication
+ - crosshair_hitindication_color
+ - crosshair_hitindication_per_weapon_color
+ - crosshair_hitindication_speed
+ - crosshair_hittest
+ - crosshair_hittest_blur
+ - crosshair_hittest_showimpact
+ - crosshair_hlac
+ - crosshair_hlac_alpha
+ - crosshair_hlac_color
+ - crosshair_hlac_size
+ - crosshair_hook
+ - crosshair_hook_alpha
+ - crosshair_hook_color
+ - crosshair_hook_size
+ - crosshair_laser
+ - crosshair_laser_alpha
+ - crosshair_laser_color
+ - crosshair_laser_size
+ - crosshair_minelayer
+ - crosshair_minelayer_alpha
+ - crosshair_minelayer_color
+ - crosshair_minelayer_size
+ - crosshair_minstanex
+ - crosshair_minstanex_alpha
+ - crosshair_minstanex_color
+ - crosshair_minstanex_size
+ - crosshair_nex
+ - crosshair_nex_alpha
+ - crosshair_nex_color
+ - crosshair_nex_size
+ - crosshair_per_weapon
+ - crosshair_pickup
+ - crosshair_pickup_speed
+ - crosshair_porto
+ - crosshair_porto_alpha
+ - crosshair_porto_color
+ - crosshair_porto_size
+ - crosshair_rifle
+ - crosshair_rifle_alpha
+ - crosshair_rifle_color
+ - crosshair_rifle_size
+ - crosshair_ring
+ - crosshair_ring_alpha
+ - crosshair_ring_hagar
+ - crosshair_ring_hagar_alpha
+ - crosshair_ring_inner
+ - crosshair_ring_minelayer
+ - crosshair_ring_minelayer_alpha
+ - crosshair_ring_nex
+ - crosshair_ring_nex_alpha
+ - crosshair_ring_nex_currentcharge_movingavg_rate
+ - crosshair_ring_nex_currentcharge_scale
+ - crosshair_ring_nex_inner_alpha
+ - crosshair_ring_nex_inner_color_blue
+ - crosshair_ring_nex_inner_color_green
+ - crosshair_ring_nex_inner_color_red
+ - crosshair_ring_reload
+ - crosshair_ring_reload_alpha
+ - crosshair_ring_reload_size
+ - crosshair_ring_size
+ - crosshair_rocketlauncher
+ - crosshair_rocketlauncher_alpha
+ - crosshair_rocketlauncher_color
+ - crosshair_rocketlauncher_size
+ - crosshair_seeker
+ - crosshair_seeker_alpha
+ - crosshair_seeker_color
+ - crosshair_seeker_size
+ - crosshair_shotgun
+ - crosshair_shotgun_alpha
+ - crosshair_shotgun_color
+ - crosshair_shotgun_size
+ - crosshair_size
+ - crosshair_tuba
+ - crosshair_tuba_alpha
+ - crosshair_tuba_color
+ - crosshair_tuba_size
+ - crosshair_uzi
+ - crosshair_uzi_alpha
+ - crosshair_uzi_color
+ - crosshair_uzi_size
+ - csqc_progcrc
+ - csqc_progname
+ - csqc_progsize
+ - csqc_usedemoprogs
+ - cutscene
+ - deathmatch
+ - developer
+ - developer_csqcentities
+ - developer_entityparsing
+ - developer_extra
+ - developer_font
+ - developer_fteqccbugs
+ - developer_insane
+ - developer_loadfile
+ - developer_loading
+ - developer_memory
+ - developer_memorydebug
+ - developer_networkentities
+ - developer_networking
+ - developer_texturelogging
+ - edgefriction
+ - ekg
+ - forceqmenu
+ - fov
+ - fraglimit
+ - fraglimit_override
+ - freelook
+ - fs_empty_files_in_pack_mark_deletions
+ - fs_gamedir
+ - find
+ - g_allow_oldnexbeam
+ - g_antilag
+ - g_antilag_bullets
+ - g_antilag_nudge
+ - g_arena
+ - g_arena_maxspawned
+ - g_arena_point_leadlimit
+ - g_arena_point_limit
+ - g_arena_respawn_delay
+ - g_arena_respawn_waves
+ - g_arena_round_timelimit
+ - g_arena_roundbased
+ - g_arena_warmup
+ - g_arena_weapon_stay
+ - g_as_respawn_delay
+ - g_as_respawn_waves
+ - g_as_weapon_stay
+ - g_assault
+ - g_balance_armor_blockpercent
+ - g_balance_armor_limit
+ - g_balance_armor_regen
+ - g_balance_armor_regenlinear
+ - g_balance_armor_regenstable
+ - g_balance_armor_rot
+ - g_balance_armor_rotlinear
+ - g_balance_armor_rotstable
+ - g_balance_armor_start
+ - g_balance_cloaked_alpha
+ - g_balance_contents_damagerate
+ - g_balance_contents_drowndelay
+ - g_balance_contents_playerdamage_drowning
+ - g_balance_contents_playerdamage_lava
+ - g_balance_contents_playerdamage_slime
+ - g_balance_contents_projectiledamage
+ - g_balance_crylink_primary_ammo
+ - g_balance_crylink_primary_animtime
+ - g_balance_crylink_primary_bouncedamagefactor
+ - g_balance_crylink_primary_bounces
+ - g_balance_crylink_primary_damage
+ - g_balance_crylink_primary_edgedamage
+ - g_balance_crylink_primary_force
+ - g_balance_crylink_primary_joindelay
+ - g_balance_crylink_primary_joinexplode
+ - g_balance_crylink_primary_joinexplode_damage
+ - g_balance_crylink_primary_joinexplode_edgedamage
+ - g_balance_crylink_primary_joinexplode_force
+ - g_balance_crylink_primary_joinexplode_radius
+ - g_balance_crylink_primary_joinspread
+ - g_balance_crylink_primary_jointime
+ - g_balance_crylink_primary_linkexplode
+ - g_balance_crylink_primary_middle_fadetime
+ - g_balance_crylink_primary_middle_lifetime
+ - g_balance_crylink_primary_other_fadetime
+ - g_balance_crylink_primary_other_lifetime
+ - g_balance_crylink_primary_radius
+ - g_balance_crylink_primary_refire
+ - g_balance_crylink_primary_shots
+ - g_balance_crylink_primary_speed
+ - g_balance_crylink_primary_spread
+ - g_balance_crylink_reload_ammo
+ - g_balance_crylink_reload_time
+ - g_balance_crylink_secondary
+ - g_balance_crylink_secondary_ammo
+ - g_balance_crylink_secondary_animtime
+ - g_balance_crylink_secondary_bouncedamagefactor
+ - g_balance_crylink_secondary_bounces
+ - g_balance_crylink_secondary_damage
+ - g_balance_crylink_secondary_edgedamage
+ - g_balance_crylink_secondary_force
+ - g_balance_crylink_secondary_joindelay
+ - g_balance_crylink_secondary_joinexplode
+ - g_balance_crylink_secondary_joinexplode_damage
+ - g_balance_crylink_secondary_joinexplode_edgedamage
+ - g_balance_crylink_secondary_joinexplode_force
+ - g_balance_crylink_secondary_joinexplode_radius
+ - g_balance_crylink_secondary_joinspread
+ - g_balance_crylink_secondary_jointime
+ - g_balance_crylink_secondary_line_fadetime
+ - g_balance_crylink_secondary_line_lifetime
+ - g_balance_crylink_secondary_linkexplode
+ - g_balance_crylink_secondary_middle_fadetime
+ - g_balance_crylink_secondary_middle_lifetime
+ - g_balance_crylink_secondary_radius
+ - g_balance_crylink_secondary_refire
+ - g_balance_crylink_secondary_shots
+ - g_balance_crylink_secondary_speed
+ - g_balance_crylink_secondary_spread
+ - g_balance_crylink_secondary_spreadtype
+ - g_balance_crylink_switchdelay_drop
+ - g_balance_crylink_switchdelay_raise
+ - g_balance_damagepush_speedfactor
+ - g_balance_electro_combo_comboradius
+ - g_balance_electro_combo_damage
+ - g_balance_electro_combo_edgedamage
+ - g_balance_electro_combo_force
+ - g_balance_electro_combo_radius
+ - g_balance_electro_combo_safeammocheck
+ - g_balance_electro_combo_speed
+ - g_balance_electro_lightning
+ - g_balance_electro_primary_ammo
+ - g_balance_electro_primary_animtime
+ - g_balance_electro_primary_comboradius
+ - g_balance_electro_primary_damage
+ - g_balance_electro_primary_edgedamage
+ - g_balance_electro_primary_falloff_halflifedist
+ - g_balance_electro_primary_falloff_maxdist
+ - g_balance_electro_primary_falloff_mindist
+ - g_balance_electro_primary_force
+ - g_balance_electro_primary_force_up
+ - g_balance_electro_primary_lifetime
+ - g_balance_electro_primary_radius
+ - g_balance_electro_primary_range
+ - g_balance_electro_primary_refire
+ - g_balance_electro_primary_speed
+ - g_balance_electro_primary_spread
+ - g_balance_electro_reload_ammo
+ - g_balance_electro_reload_time
+ - g_balance_electro_secondary_ammo
+ - g_balance_electro_secondary_animtime
+ - g_balance_electro_secondary_bouncefactor
+ - g_balance_electro_secondary_bouncestop
+ - g_balance_electro_secondary_count
+ - g_balance_electro_secondary_damage
+ - g_balance_electro_secondary_damagedbycontents
+ - g_balance_electro_secondary_damageforcescale
+ - g_balance_electro_secondary_edgedamage
+ - g_balance_electro_secondary_force
+ - g_balance_electro_secondary_health
+ - g_balance_electro_secondary_lifetime
+ - g_balance_electro_secondary_radius
+ - g_balance_electro_secondary_refire
+ - g_balance_electro_secondary_refire2
+ - g_balance_electro_secondary_speed
+ - g_balance_electro_secondary_speed_up
+ - g_balance_electro_secondary_speed_z
+ - g_balance_electro_secondary_spread
+ - g_balance_electro_switchdelay_drop
+ - g_balance_electro_switchdelay_raise
+ - g_balance_falldamage_deadminspeed
+ - g_balance_falldamage_factor
+ - g_balance_falldamage_maxdamage
+ - g_balance_falldamage_minspeed
+ - g_balance_fireball_primary_animtime
+ - g_balance_fireball_primary_bfgdamage
+ - g_balance_fireball_primary_bfgforce
+ - g_balance_fireball_primary_bfgradius
+ - g_balance_fireball_primary_damage
+ - g_balance_fireball_primary_damageforcescale
+ - g_balance_fireball_primary_edgedamage
+ - g_balance_fireball_primary_force
+ - g_balance_fireball_primary_health
+ - g_balance_fireball_primary_laserburntime
+ - g_balance_fireball_primary_laserdamage
+ - g_balance_fireball_primary_laseredgedamage
+ - g_balance_fireball_primary_laserradius
+ - g_balance_fireball_primary_lifetime
+ - g_balance_fireball_primary_radius
+ - g_balance_fireball_primary_refire
+ - g_balance_fireball_primary_refire2
+ - g_balance_fireball_primary_speed
+ - g_balance_fireball_primary_spread
+ - g_balance_fireball_secondary_animtime
+ - g_balance_fireball_secondary_damage
+ - g_balance_fireball_secondary_damageforcescale
+ - g_balance_fireball_secondary_damagetime
+ - g_balance_fireball_secondary_force
+ - g_balance_fireball_secondary_laserburntime
+ - g_balance_fireball_secondary_laserdamage
+ - g_balance_fireball_secondary_laseredgedamage
+ - g_balance_fireball_secondary_laserradius
+ - g_balance_fireball_secondary_lifetime
+ - g_balance_fireball_secondary_refire
+ - g_balance_fireball_secondary_speed
+ - g_balance_fireball_secondary_speed_up
+ - g_balance_fireball_secondary_speed_z
+ - g_balance_fireball_secondary_spread
+ - g_balance_fireball_switchdelay_drop
+ - g_balance_fireball_switchdelay_raise
+ - g_balance_firetransfer_damage
+ - g_balance_firetransfer_time
+ - g_balance_fuel_limit
+ - g_balance_fuel_regen
+ - g_balance_fuel_regenlinear
+ - g_balance_fuel_regenstable
+ - g_balance_fuel_rot
+ - g_balance_fuel_rotlinear
+ - g_balance_fuel_rotstable
+ - g_balance_grapplehook_airfriction
+ - g_balance_grapplehook_damagedbycontents
+ - g_balance_grapplehook_force_rubber
+ - g_balance_grapplehook_force_rubber_overstretch
+ - g_balance_grapplehook_health
+ - g_balance_grapplehook_length_min
+ - g_balance_grapplehook_speed_fly
+ - g_balance_grapplehook_speed_pull
+ - g_balance_grapplehook_stretch
+ - g_balance_grenadelauncher_bouncefactor
+ - g_balance_grenadelauncher_bouncestop
+ - g_balance_grenadelauncher_primary_ammo
+ - g_balance_grenadelauncher_primary_animtime
+ - g_balance_grenadelauncher_primary_damage
+ - g_balance_grenadelauncher_primary_damageforcescale
+ - g_balance_grenadelauncher_primary_edgedamage
+ - g_balance_grenadelauncher_primary_force
+ - g_balance_grenadelauncher_primary_health
+ - g_balance_grenadelauncher_primary_lifetime
+ - g_balance_grenadelauncher_primary_lifetime2
+ - g_balance_grenadelauncher_primary_radius
+ - g_balance_grenadelauncher_primary_refire
+ - g_balance_grenadelauncher_primary_remote_minbouncecnt
+ - g_balance_grenadelauncher_primary_speed
+ - g_balance_grenadelauncher_primary_speed_up
+ - g_balance_grenadelauncher_primary_speed_z
+ - g_balance_grenadelauncher_primary_spread
+ - g_balance_grenadelauncher_primary_type
+ - g_balance_grenadelauncher_reload_ammo
+ - g_balance_grenadelauncher_reload_time
+ - g_balance_grenadelauncher_secondary_ammo
+ - g_balance_grenadelauncher_secondary_animtime
+ - g_balance_grenadelauncher_secondary_damage
+ - g_balance_grenadelauncher_secondary_damageforcescale
+ - g_balance_grenadelauncher_secondary_edgedamage
+ - g_balance_grenadelauncher_secondary_force
+ - g_balance_grenadelauncher_secondary_health
+ - g_balance_grenadelauncher_secondary_lifetime
+ - g_balance_grenadelauncher_secondary_lifetime_bounce
+ - g_balance_grenadelauncher_secondary_lifetime_stick
+ - g_balance_grenadelauncher_secondary_radius
+ - g_balance_grenadelauncher_secondary_refire
+ - g_balance_grenadelauncher_secondary_remote_detonateprimary
+ - g_balance_grenadelauncher_secondary_speed
+ - g_balance_grenadelauncher_secondary_speed_up
+ - g_balance_grenadelauncher_secondary_speed_z
+ - g_balance_grenadelauncher_secondary_spread
+ - g_balance_grenadelauncher_secondary_type
+ - g_balance_grenadelauncher_switchdelay_drop
+ - g_balance_grenadelauncher_switchdelay_raise
+ - g_balance_hagar_primary_ammo
+ - g_balance_hagar_primary_damage
+ - g_balance_hagar_primary_damageforcescale
+ - g_balance_hagar_primary_edgedamage
+ - g_balance_hagar_primary_force
+ - g_balance_hagar_primary_health
+ - g_balance_hagar_primary_lifetime
+ - g_balance_hagar_primary_radius
+ - g_balance_hagar_primary_refire
+ - g_balance_hagar_primary_speed
+ - g_balance_hagar_primary_spread
+ - g_balance_hagar_reload_ammo
+ - g_balance_hagar_reload_time
+ - g_balance_hagar_secondary
+ - g_balance_hagar_secondary_ammo
+ - g_balance_hagar_secondary_damage
+ - g_balance_hagar_secondary_damageforcescale
+ - g_balance_hagar_secondary_edgedamage
+ - g_balance_hagar_secondary_force
+ - g_balance_hagar_secondary_health
+ - g_balance_hagar_secondary_lifetime_min
+ - g_balance_hagar_secondary_lifetime_rand
+ - g_balance_hagar_secondary_load
+ - g_balance_hagar_secondary_load_abort
+ - g_balance_hagar_secondary_load_animtime
+ - g_balance_hagar_secondary_load_hold
+ - g_balance_hagar_secondary_load_linkexplode
+ - g_balance_hagar_secondary_load_max
+ - g_balance_hagar_secondary_load_releasedeath
+ - g_balance_hagar_secondary_load_speed
+ - g_balance_hagar_secondary_load_spread
+ - g_balance_hagar_secondary_load_spread_bias
+ - g_balance_hagar_secondary_radius
+ - g_balance_hagar_secondary_refire
+ - g_balance_hagar_secondary_speed
+ - g_balance_hagar_secondary_spread
+ - g_balance_hagar_switchdelay_drop
+ - g_balance_hagar_switchdelay_raise
+ - g_balance_health_limit
+ - g_balance_health_regen
+ - g_balance_health_regenlinear
+ - g_balance_health_regenstable
+ - g_balance_health_rot
+ - g_balance_health_rotlinear
+ - g_balance_health_rotstable
+ - g_balance_health_start
+ - g_balance_hlac_primary_ammo
+ - g_balance_hlac_primary_animtime
+ - g_balance_hlac_primary_damage
+ - g_balance_hlac_primary_edgedamage
+ - g_balance_hlac_primary_force
+ - g_balance_hlac_primary_lifetime
+ - g_balance_hlac_primary_radius
+ - g_balance_hlac_primary_refire
+ - g_balance_hlac_primary_speed
+ - g_balance_hlac_primary_spread_add
+ - g_balance_hlac_primary_spread_crouchmod
+ - g_balance_hlac_primary_spread_max
+ - g_balance_hlac_primary_spread_min
+ - g_balance_hlac_reload_ammo
+ - g_balance_hlac_reload_time
+ - g_balance_hlac_secondary
+ - g_balance_hlac_secondary_ammo
+ - g_balance_hlac_secondary_animtime
+ - g_balance_hlac_secondary_damage
+ - g_balance_hlac_secondary_edgedamage
+ - g_balance_hlac_secondary_force
+ - g_balance_hlac_secondary_lifetime
+ - g_balance_hlac_secondary_radius
+ - g_balance_hlac_secondary_refire
+ - g_balance_hlac_secondary_shots
+ - g_balance_hlac_secondary_speed
+ - g_balance_hlac_secondary_spread
+ - g_balance_hlac_secondary_spread_crouchmod
+ - g_balance_hlac_switchdelay_drop
+ - g_balance_hlac_switchdelay_raise
+ - g_balance_hook_primary_animtime
+ - g_balance_hook_primary_fuel
+ - g_balance_hook_primary_hooked_fuel
+ - g_balance_hook_primary_hooked_time_free
+ - g_balance_hook_primary_hooked_time_max
+ - g_balance_hook_primary_refire
+ - g_balance_hook_secondary_ammo
+ - g_balance_hook_secondary_animtime
+ - g_balance_hook_secondary_damage
+ - g_balance_hook_secondary_damageforcescale
+ - g_balance_hook_secondary_duration
+ - g_balance_hook_secondary_edgedamage
+ - g_balance_hook_secondary_force
+ - g_balance_hook_secondary_gravity
+ - g_balance_hook_secondary_health
+ - g_balance_hook_secondary_lifetime
+ - g_balance_hook_secondary_power
+ - g_balance_hook_secondary_radius
+ - g_balance_hook_secondary_refire
+ - g_balance_hook_secondary_speed
+ - g_balance_hook_switchdelay_drop
+ - g_balance_hook_switchdelay_raise
+ - g_balance_keyhunt_damageforcescale
+ - g_balance_keyhunt_delay_collect
+ - g_balance_keyhunt_delay_fadeout
+ - g_balance_keyhunt_delay_return
+ - g_balance_keyhunt_delay_round
+ - g_balance_keyhunt_delay_tracking
+ - g_balance_keyhunt_dropvelocity
+ - g_balance_keyhunt_maxdist
+ - g_balance_keyhunt_protecttime
+ - g_balance_keyhunt_score_capture
+ - g_balance_keyhunt_score_carrierfrag
+ - g_balance_keyhunt_score_collect
+ - g_balance_keyhunt_score_destroyed
+ - g_balance_keyhunt_score_destroyed_ownfactor
+ - g_balance_keyhunt_score_push
+ - g_balance_keyhunt_throwvelocity
+ - g_balance_kill_antispam
+ - g_balance_kill_delay
+ - g_balance_laser_primary_animtime
+ - g_balance_laser_primary_damage
+ - g_balance_laser_primary_delay
+ - g_balance_laser_primary_edgedamage
+ - g_balance_laser_primary_force
+ - g_balance_laser_primary_force_other_scale
+ - g_balance_laser_primary_force_velocitybias
+ - g_balance_laser_primary_force_zscale
+ - g_balance_laser_primary_gauntlet
+ - g_balance_laser_primary_lifetime
+ - g_balance_laser_primary_radius
+ - g_balance_laser_primary_refire
+ - g_balance_laser_primary_shotangle
+ - g_balance_laser_primary_speed
+ - g_balance_laser_primary_spread
+ - g_balance_laser_reload_ammo
+ - g_balance_laser_reload_time
+ - g_balance_laser_secondary
+ - g_balance_laser_secondary_animtime
+ - g_balance_laser_secondary_damage
+ - g_balance_laser_secondary_delay
+ - g_balance_laser_secondary_edgedamage
+ - g_balance_laser_secondary_force
+ - g_balance_laser_secondary_force_other_scale
+ - g_balance_laser_secondary_force_velocitybias
+ - g_balance_laser_secondary_force_zscale
+ - g_balance_laser_secondary_gauntlet
+ - g_balance_laser_secondary_lifetime
+ - g_balance_laser_secondary_radius
+ - g_balance_laser_secondary_refire
+ - g_balance_laser_secondary_shotangle
+ - g_balance_laser_secondary_speed
+ - g_balance_laser_secondary_spread
+ - g_balance_laser_switchdelay_drop
+ - g_balance_laser_switchdelay_raise
+ - g_balance_minelayer_ammo
+ - g_balance_minelayer_animtime
+ - g_balance_minelayer_damage
+ - g_balance_minelayer_damageforcescale
+ - g_balance_minelayer_detonatedelay
+ - g_balance_minelayer_edgedamage
+ - g_balance_minelayer_force
+ - g_balance_minelayer_health
+ - g_balance_minelayer_lifetime
+ - g_balance_minelayer_lifetime_countdown
+ - g_balance_minelayer_limit
+ - g_balance_minelayer_protection
+ - g_balance_minelayer_proximityradius
+ - g_balance_minelayer_radius
+ - g_balance_minelayer_refire
+ - g_balance_minelayer_reload_ammo
+ - g_balance_minelayer_reload_time
+ - g_balance_minelayer_remote_damage
+ - g_balance_minelayer_remote_edgedamage
+ - g_balance_minelayer_remote_force
+ - g_balance_minelayer_remote_radius
+ - g_balance_minelayer_speed
+ - g_balance_minelayer_switchdelay_drop
+ - g_balance_minelayer_switchdelay_raise
+ - g_balance_minelayer_time
+ - g_balance_minstanex_ammo
+ - g_balance_minstanex_animtime
+ - g_balance_minstanex_laser_ammo
+ - g_balance_minstanex_laser_animtime
+ - g_balance_minstanex_laser_refire
+ - g_balance_minstanex_refire
+ - g_balance_minstanex_reload_ammo
+ - g_balance_minstanex_reload_time
+ - g_balance_minstanex_switchdelay_drop
+ - g_balance_minstanex_switchdelay_raise
+ - g_balance_nex_charge
+ - g_balance_nex_charge_animlimit
+ - g_balance_nex_charge_limit
+ - g_balance_nex_charge_maxspeed
+ - g_balance_nex_charge_mindmg
+ - g_balance_nex_charge_minspeed
+ - g_balance_nex_charge_rate
+ - g_balance_nex_charge_rot_pause
+ - g_balance_nex_charge_rot_rate
+ - g_balance_nex_charge_shot_multiplier
+ - g_balance_nex_charge_start
+ - g_balance_nex_charge_velocity_rate
+ - g_balance_nex_primary_ammo
+ - g_balance_nex_primary_animtime
+ - g_balance_nex_primary_damage
+ - g_balance_nex_primary_damagefalloff_forcehalflife
+ - g_balance_nex_primary_damagefalloff_halflife
+ - g_balance_nex_primary_damagefalloff_maxdist
+ - g_balance_nex_primary_damagefalloff_mindist
+ - g_balance_nex_primary_force
+ - g_balance_nex_primary_refire
+ - g_balance_nex_reload_ammo
+ - g_balance_nex_reload_time
+ - g_balance_nex_secondary
+ - g_balance_nex_secondary_ammo
+ - g_balance_nex_secondary_animtime
+ - g_balance_nex_secondary_charge
+ - g_balance_nex_secondary_charge_rate
+ - g_balance_nex_secondary_chargepool
+ - g_balance_nex_secondary_chargepool_pause_health_regen
+ - g_balance_nex_secondary_chargepool_pause_regen
+ - g_balance_nex_secondary_chargepool_regen
+ - g_balance_nex_secondary_damage
+ - g_balance_nex_secondary_damagefalloff_forcehalflife
+ - g_balance_nex_secondary_damagefalloff_halflife
+ - g_balance_nex_secondary_damagefalloff_maxdist
+ - g_balance_nex_secondary_damagefalloff_mindist
+ - g_balance_nex_secondary_force
+ - g_balance_nex_secondary_refire
+ - g_balance_nex_switchdelay_drop
+ - g_balance_nex_switchdelay_raise
+ - g_balance_nexball_primary_animtime
+ - g_balance_nexball_primary_refire
+ - g_balance_nexball_primary_speed
+ - g_balance_nexball_secondary_animtime
+ - g_balance_nexball_secondary_force
+ - g_balance_nexball_secondary_lifetime
+ - g_balance_nexball_secondary_refire
+ - g_balance_nexball_secondary_speed
+ - g_balance_nix_ammo_cells
+ - g_balance_nix_ammo_fuel
+ - g_balance_nix_ammo_nails
+ - g_balance_nix_ammo_rockets
+ - g_balance_nix_ammo_shells
+ - g_balance_nix_ammoincr_cells
+ - g_balance_nix_ammoincr_fuel
+ - g_balance_nix_ammoincr_nails
+ - g_balance_nix_ammoincr_rockets
+ - g_balance_nix_ammoincr_shells
+ - g_balance_nix_incrtime
+ - g_balance_nix_roundtime
+ - g_balance_pause_armor_rot
+ - g_balance_pause_armor_rot_spawn
+ - g_balance_pause_fuel_regen
+ - g_balance_pause_fuel_rot
+ - g_balance_pause_fuel_rot_spawn
+ - g_balance_pause_health_regen
+ - g_balance_pause_health_regen_spawn
+ - g_balance_pause_health_rot
+ - g_balance_pause_health_rot_spawn
+ - g_balance_portal_health
+ - g_balance_portal_lifetime
+ - g_balance_porto_primary_animtime
+ - g_balance_porto_primary_lifetime
+ - g_balance_porto_primary_refire
+ - g_balance_porto_primary_speed
+ - g_balance_porto_secondary
+ - g_balance_porto_secondary_animtime
+ - g_balance_porto_secondary_lifetime
+ - g_balance_porto_secondary_refire
+ - g_balance_porto_secondary_speed
+ - g_balance_porto_switchdelay_drop
+ - g_balance_porto_switchdelay_raise
+ - g_balance_powerup_invincible_takedamage
+ - g_balance_powerup_invincible_time
+ - g_balance_powerup_strength_damage
+ - g_balance_powerup_strength_force
+ - g_balance_powerup_strength_selfdamage
+ - g_balance_powerup_strength_selfforce
+ - g_balance_powerup_strength_time
+ - g_balance_rifle_bursttime
+ - g_balance_rifle_primary_ammo
+ - g_balance_rifle_primary_animtime
+ - g_balance_rifle_primary_bulletconstant
+ - g_balance_rifle_primary_bullethail
+ - g_balance_rifle_primary_burstcost
+ - g_balance_rifle_primary_damage
+ - g_balance_rifle_primary_force
+ - g_balance_rifle_primary_lifetime
+ - g_balance_rifle_primary_refire
+ - g_balance_rifle_primary_shots
+ - g_balance_rifle_primary_speed
+ - g_balance_rifle_primary_spread
+ - g_balance_rifle_primary_tracer
+ - g_balance_rifle_reload_ammo
+ - g_balance_rifle_reload_time
+ - g_balance_rifle_secondary
+ - g_balance_rifle_secondary_ammo
+ - g_balance_rifle_secondary_animtime
+ - g_balance_rifle_secondary_bulletconstant
+ - g_balance_rifle_secondary_bullethail
+ - g_balance_rifle_secondary_burstcost
+ - g_balance_rifle_secondary_damage
+ - g_balance_rifle_secondary_force
+ - g_balance_rifle_secondary_lifetime
+ - g_balance_rifle_secondary_refire
+ - g_balance_rifle_secondary_reload
+ - g_balance_rifle_secondary_shots
+ - g_balance_rifle_secondary_speed
+ - g_balance_rifle_secondary_spread
+ - g_balance_rifle_secondary_tracer
+ - g_balance_rifle_switchdelay_drop
+ - g_balance_rifle_switchdelay_raise
+ - g_balance_rocketlauncher_ammo
+ - g_balance_rocketlauncher_animtime
+ - g_balance_rocketlauncher_damage
+ - g_balance_rocketlauncher_damageforcescale
+ - g_balance_rocketlauncher_detonatedelay
+ - g_balance_rocketlauncher_edgedamage
+ - g_balance_rocketlauncher_force
+ - g_balance_rocketlauncher_guidedelay
+ - g_balance_rocketlauncher_guidegoal
+ - g_balance_rocketlauncher_guiderate
+ - g_balance_rocketlauncher_guideratedelay
+ - g_balance_rocketlauncher_guidestop
+ - g_balance_rocketlauncher_health
+ - g_balance_rocketlauncher_lifetime
+ - g_balance_rocketlauncher_radius
+ - g_balance_rocketlauncher_refire
+ - g_balance_rocketlauncher_reload_ammo
+ - g_balance_rocketlauncher_reload_time
+ - g_balance_rocketlauncher_remote_damage
+ - g_balance_rocketlauncher_remote_edgedamage
+ - g_balance_rocketlauncher_remote_force
+ - g_balance_rocketlauncher_remote_radius
+ - g_balance_rocketlauncher_speed
+ - g_balance_rocketlauncher_speedaccel
+ - g_balance_rocketlauncher_speedstart
+ - g_balance_rocketlauncher_switchdelay_drop
+ - g_balance_rocketlauncher_switchdelay_raise
+ - g_balance_seeker_flac_ammo
+ - g_balance_seeker_flac_animtime
+ - g_balance_seeker_flac_damage
+ - g_balance_seeker_flac_edgedamage
+ - g_balance_seeker_flac_force
+ - g_balance_seeker_flac_lifetime
+ - g_balance_seeker_flac_lifetime_rand
+ - g_balance_seeker_flac_radius
+ - g_balance_seeker_flac_refire
+ - g_balance_seeker_flac_speed
+ - g_balance_seeker_flac_speed_up
+ - g_balance_seeker_flac_speed_z
+ - g_balance_seeker_flac_spread
+ - g_balance_seeker_missile_accel
+ - g_balance_seeker_missile_ammo
+ - g_balance_seeker_missile_animtime
+ - g_balance_seeker_missile_count
+ - g_balance_seeker_missile_damage
+ - g_balance_seeker_missile_damageforcescale
+ - g_balance_seeker_missile_decel
+ - g_balance_seeker_missile_delay
+ - g_balance_seeker_missile_edgedamage
+ - g_balance_seeker_missile_force
+ - g_balance_seeker_missile_health
+ - g_balance_seeker_missile_lifetime
+ - g_balance_seeker_missile_proxy
+ - g_balance_seeker_missile_proxy_delay
+ - g_balance_seeker_missile_proxy_maxrange
+ - g_balance_seeker_missile_radius
+ - g_balance_seeker_missile_refire
+ - g_balance_seeker_missile_smart
+ - g_balance_seeker_missile_smart_mindist
+ - g_balance_seeker_missile_smart_trace_max
+ - g_balance_seeker_missile_smart_trace_min
+ - g_balance_seeker_missile_speed
+ - g_balance_seeker_missile_speed_max
+ - g_balance_seeker_missile_speed_up
+ - g_balance_seeker_missile_speed_z
+ - g_balance_seeker_missile_spread
+ - g_balance_seeker_missile_turnrate
+ - g_balance_seeker_reload_ammo
+ - g_balance_seeker_reload_time
+ - g_balance_seeker_switchdelay_drop
+ - g_balance_seeker_switchdelay_raise
+ - g_balance_seeker_tag_ammo
+ - g_balance_seeker_tag_animtime
+ - g_balance_seeker_tag_damageforcescale
+ - g_balance_seeker_tag_health
+ - g_balance_seeker_tag_lifetime
+ - g_balance_seeker_tag_refire
+ - g_balance_seeker_tag_speed
+ - g_balance_seeker_tag_spread
+ - g_balance_seeker_tag_tracker_lifetime
+ - g_balance_seeker_type
+ - g_balance_selfdamagepercent
+ - g_balance_shotgun_primary_ammo
+ - g_balance_shotgun_primary_animtime
+ - g_balance_shotgun_primary_bulletconstant
+ - g_balance_shotgun_primary_bullets
+ - g_balance_shotgun_primary_damage
+ - g_balance_shotgun_primary_force
+ - g_balance_shotgun_primary_refire
+ - g_balance_shotgun_primary_speed
+ - g_balance_shotgun_primary_spread
+ - g_balance_shotgun_reload_ammo
+ - g_balance_shotgun_reload_time
+ - g_balance_shotgun_secondary
+ - g_balance_shotgun_secondary_animtime
+ - g_balance_shotgun_secondary_damage
+ - g_balance_shotgun_secondary_force
+ - g_balance_shotgun_secondary_melee_delay
+ - g_balance_shotgun_secondary_melee_multihit
+ - g_balance_shotgun_secondary_melee_no_doubleslap
+ - g_balance_shotgun_secondary_melee_nonplayerdamage
+ - g_balance_shotgun_secondary_melee_range
+ - g_balance_shotgun_secondary_melee_swing_side
+ - g_balance_shotgun_secondary_melee_swing_up
+ - g_balance_shotgun_secondary_melee_time
+ - g_balance_shotgun_secondary_melee_traces
+ - g_balance_shotgun_secondary_refire
+ - g_balance_shotgun_switchdelay_drop
+ - g_balance_shotgun_switchdelay_raise
+ - g_balance_superweapons_time
+ - g_balance_teams
+ - g_balance_teams_prevent_imbalance
+ - g_balance_teams_scorefactor
+ - g_balance_tuba_animtime
+ - g_balance_tuba_attenuation
+ - g_balance_tuba_damage
+ - g_balance_tuba_edgedamage
+ - g_balance_tuba_fadetime
+ - g_balance_tuba_force
+ - g_balance_tuba_pitchstep
+ - g_balance_tuba_radius
+ - g_balance_tuba_refire
+ - g_balance_tuba_switchdelay_drop
+ - g_balance_tuba_switchdelay_raise
+ - g_balance_tuba_volume
+ - g_balance_uzi_bulletconstant
+ - g_balance_uzi_burst
+ - g_balance_uzi_burst_ammo
+ - g_balance_uzi_burst_animtime
+ - g_balance_uzi_burst_damage
+ - g_balance_uzi_burst_force
+ - g_balance_uzi_burst_refire
+ - g_balance_uzi_burst_refire2
+ - g_balance_uzi_burst_spread
+ - g_balance_uzi_first
+ - g_balance_uzi_first_ammo
+ - g_balance_uzi_first_damage
+ - g_balance_uzi_first_force
+ - g_balance_uzi_first_refire
+ - g_balance_uzi_first_spread
+ - g_balance_uzi_mode
+ - g_balance_uzi_reload_ammo
+ - g_balance_uzi_reload_time
+ - g_balance_uzi_speed
+ - g_balance_uzi_spread_add
+ - g_balance_uzi_spread_max
+ - g_balance_uzi_spread_min
+ - g_balance_uzi_sustained_ammo
+ - g_balance_uzi_sustained_damage
+ - g_balance_uzi_sustained_force
+ - g_balance_uzi_sustained_refire
+ - g_balance_uzi_sustained_spread
+ - g_balance_uzi_switchdelay_drop
+ - g_balance_uzi_switchdelay_raise
+ - g_ballistics_density_corpse
+ - g_ballistics_density_player
+ - g_ballistics_materialconstant
+ - g_ballistics_mindistance
+ - g_ban_default_bantime
+ - g_ban_default_masksize
+ - g_ban_sync_interval
+ - g_ban_sync_timeout
+ - g_ban_sync_trusted_servers
+ - g_ban_sync_trusted_servers_verify
+ - g_ban_sync_uri
+ - g_banned_list
+ - g_banned_list_idmode
+ - g_bastet
+ - g_bloodloss
+ - g_botclip_collisions
+ - g_bugrigs
+ - g_bugrigs_accel
+ - g_bugrigs_air_steering
+ - g_bugrigs_angle_smoothing
+ - g_bugrigs_friction_air
+ - g_bugrigs_friction_brake
+ - g_bugrigs_friction_floor
+ - g_bugrigs_planar_movement
+ - g_bugrigs_planar_movement_car_jumping
+ - g_bugrigs_reverse_speeding
+ - g_bugrigs_reverse_spinning
+ - g_bugrigs_reverse_stopping
+ - g_bugrigs_speed_pow
+ - g_bugrigs_speed_ref
+ - g_bugrigs_steer
+ - g_ca
+ - g_ca_damage2score_multiplier
+ - g_ca_point_leadlimit
+ - g_ca_point_limit
+ - g_ca_respawn_delay
+ - g_ca_respawn_waves
+ - g_ca_round_timelimit
+ - g_ca_spectate_enemies
+ - g_ca_teams
+ - g_ca_teams_override
+ - g_ca_warmup
+ - g_ca_weapon_stay
+ - g_campaign
+ - g_campaign_forceteam
+ - g_campaign_name
+ - g_campaign_skill
+ - g_campaignxonoticbeta_index
+ - g_campaignxonoticbeta_won
+ - g_casings
+ - g_cdtracks_dontusebydefault
+ - g_cdtracks_remaplist
+ - g_changeteam_banned
+ - g_changeteam_fragtransfer
+ - g_chat_flood_burst
+ - g_chat_flood_burst_team
+ - g_chat_flood_burst_tell
+ - g_chat_flood_lmax
+ - g_chat_flood_lmax_team
+ - g_chat_flood_lmax_tell
+ - g_chat_flood_notify_flooder
+ - g_chat_flood_spl
+ - g_chat_flood_spl_team
+ - g_chat_flood_spl_tell
+ - g_chat_nospectators
+ - g_chat_teamcolors
+ - g_chat_tellprivacy
+ - g_cloaked
+ - g_configversion
+ - g_ctf
+ - g_ctf_allow_vehicle_carry
+ - g_ctf_allow_vehicle_touch
+ - g_ctf_captimerecord_always
+ - g_ctf_drop_velocity_side
+ - g_ctf_drop_velocity_up
+ - g_ctf_dropped_capture_delay
+ - g_ctf_dropped_capture_radius
+ - g_ctf_dynamiclights
+ - g_ctf_flag_blue_model
+ - g_ctf_flag_blue_skin
+ - g_ctf_flag_collect_delay
+ - g_ctf_flag_damageforcescale
+ - g_ctf_flag_dropped_floatinwater
+ - g_ctf_flag_dropped_waypoint
+ - g_ctf_flag_glowtrails
+ - g_ctf_flag_health
+ - g_ctf_flag_red_model
+ - g_ctf_flag_red_skin
+ - g_ctf_flag_return_damage
+ - g_ctf_flag_return_dropped
+ - g_ctf_flag_return_time
+ - g_ctf_flag_return_when_unreachable
+ - g_ctf_flagcarrier_auto_helpme_damage
+ - g_ctf_flagcarrier_auto_helpme_time
+ - g_ctf_flagcarrier_damagefactor
+ - g_ctf_flagcarrier_forcefactor
+ - g_ctf_flagcarrier_selfdamagefactor
+ - g_ctf_flagcarrier_selfforcefactor
+ - g_ctf_flagcarrier_waypointforenemy_spotting
+ - g_ctf_fullbrightflags
+ - g_ctf_ignore_frags
+ - g_ctf_pass
+ - g_ctf_pass_arc
+ - g_ctf_pass_arc_max
+ - g_ctf_pass_directional_max
+ - g_ctf_pass_directional_min
+ - g_ctf_pass_radius
+ - g_ctf_pass_request
+ - g_ctf_pass_timelimit
+ - g_ctf_pass_turnrate
+ - g_ctf_pass_velocity
+ - g_ctf_pass_wait
+ - g_ctf_portalteleport
+ - g_ctf_respawn_delay
+ - g_ctf_respawn_waves
+ - g_ctf_reverse
+ - g_ctf_score_capture
+ - g_ctf_score_capture_assist
+ - g_ctf_score_kill
+ - g_ctf_score_penalty_drop
+ - g_ctf_score_penalty_returned
+ - g_ctf_score_penalty_suicidedrop
+ - g_ctf_score_pickup_base
+ - g_ctf_score_pickup_dropped_early
+ - g_ctf_score_pickup_dropped_late
+ - g_ctf_score_return
+ - g_ctf_shield_force
+ - g_ctf_shield_max_ratio
+ - g_ctf_shield_min_negscore
+ - g_ctf_stalemate
+ - g_ctf_stalemate_endcondition
+ - g_ctf_stalemate_time
+ - g_ctf_throw
+ - g_ctf_throw_angle_max
+ - g_ctf_throw_angle_min
+ - g_ctf_throw_punish_count
+ - g_ctf_throw_punish_delay
+ - g_ctf_throw_punish_time
+ - g_ctf_throw_strengthmultiplier
+ - g_ctf_throw_velocity_forward
+ - g_ctf_throw_velocity_up
+ - g_ctf_weapon_stay
+ - g_cts
+ - g_cts_finish_kill_delay
+ - g_cts_respawn_delay
+ - g_cts_respawn_waves
+ - g_cts_selfdamage
+ - g_cts_weapon_stay
+ - g_deathglow
+ - g_debug_bot_commands
+ - g_debug_defaultsounds
+ - g_dm
+ - g_dm_respawn_delay
+ - g_dm_respawn_waves
+ - g_dm_weapon_stay
+ - g_dodging
+ - g_dom_respawn_delay
+ - g_dom_respawn_waves
+ - g_dom_weapon_stay
+ - g_domination
+ - g_domination_default_teams
+ - g_domination_disable_frags
+ - g_domination_point_amt
+ - g_domination_point_capturetime
+ - g_domination_point_fullbright
+ - g_domination_point_glow
+ - g_domination_point_leadlimit
+ - g_domination_point_limit
+ - g_domination_point_rate
+ - g_domination_teams_override
+ - g_footsteps
+ - g_forced_respawn
+ - g_forced_team_blue
+ - g_forced_team_otherwise
+ - g_forced_team_pink
+ - g_forced_team_red
+ - g_forced_team_yellow
+ - g_freezetag
+ - g_freezetag_frozen_force
+ - g_freezetag_frozen_maxtime
+ - g_freezetag_point_leadlimit
+ - g_freezetag_point_limit
+ - g_freezetag_revive_clearspeed
+ - g_freezetag_revive_extra_size
+ - g_freezetag_revive_speed
+ - g_freezetag_round_timelimit
+ - g_freezetag_teams
+ - g_freezetag_teams_override
+ - g_freezetag_warmup
+ - g_friendlyfire
+ - g_friendlyfire_virtual
+ - g_friendlyfire_virtual_force
+ - g_ft_respawn_delay
+ - g_ft_respawn_waves
+ - g_ft_weapon_stay
+ - g_full_getstatus_responses
+ - g_fullbrightitems
+ - g_fullbrightplayers
+ - g_grab_range
+ - g_grappling_hook
+ - g_grappling_hook_tarzan
+ - g_hitplots
+ - g_hitplots_individuals
+ - g_invincible_projectiles
+ - g_jetpack
+ - g_jetpack_acceleration_side
+ - g_jetpack_acceleration_up
+ - g_jetpack_antigravity
+ - g_jetpack_attenuation
+ - g_jetpack_fuel
+ - g_jetpack_maxspeed_side
+ - g_jetpack_maxspeed_up
+ - g_jump_grunt
+ - g_ka_respawn_delay
+ - g_ka_respawn_waves
+ - g_ka_weapon_stay
+ - g_keepaway
+ - g_keepaway_ballcarrier_damage
+ - g_keepaway_ballcarrier_effects
+ - g_keepaway_ballcarrier_force
+ - g_keepaway_ballcarrier_highspeed
+ - g_keepaway_ballcarrier_selfdamage
+ - g_keepaway_ballcarrier_selfforce
+ - g_keepaway_noncarrier_damage
+ - g_keepaway_noncarrier_force
+ - g_keepaway_noncarrier_selfdamage
+ - g_keepaway_noncarrier_selfforce
+ - g_keepaway_noncarrier_warn
+ - g_keepaway_score_bckill
+ - g_keepaway_score_killac
+ - g_keepaway_score_timeinterval
+ - g_keepaway_score_timepoints
+ - g_keepaway_teams
+ - g_keepaway_teams_override
+ - g_keepawayball_damageforcescale
+ - g_keepawayball_effects
+ - g_keepawayball_respawntime
+ - g_keepawayball_trail_color
+ - g_keyhunt
+ - g_keyhunt_point_leadlimit
+ - g_keyhunt_point_limit
+ - g_keyhunt_teams
+ - g_keyhunt_teams_override
+ - g_kh_respawn_delay
+ - g_kh_respawn_waves
+ - g_kh_weapon_stay
+ - g_lms
+ - g_lms_campcheck_damage
+ - g_lms_campcheck_distance
+ - g_lms_campcheck_interval
+ - g_lms_extra_lives
+ - g_lms_join_anytime
+ - g_lms_last_join
+ - g_lms_lives_override
+ - g_lms_regenerate
+ - g_lms_respawn_delay
+ - g_lms_respawn_waves
+ - g_lms_start_ammo_cells
+ - g_lms_start_ammo_fuel
+ - g_lms_start_ammo_nails
+ - g_lms_start_ammo_rockets
+ - g_lms_start_ammo_shells
+ - g_lms_start_armor
+ - g_lms_start_health
+ - g_lms_weapon_stay
+ - g_mapinfo_allow_unsupported_modes_and_let_stuff_break
+ - g_mapinfo_settemp_acl
+ - g_maplist
+ - g_maplist_allow_frustrating
+ - g_maplist_allow_hidden
+ - g_maplist_check_waypoints
+ - g_maplist_index
+ - g_maplist_mostrecent
+ - g_maplist_mostrecent_count
+ - g_maplist_selectrandom
+ - g_maplist_shuffle
+ - g_maplist_votable
+ - g_maplist_votable_abstain
+ - g_maplist_votable_keeptwotime
+ - g_maplist_votable_nodetail
+ - g_maplist_votable_screenshot_dir
+ - g_maplist_votable_suggestions
+ - g_maplist_votable_suggestions_override_mostrecent
+ - g_maplist_votable_timeout
+ - g_max_info_autoscreenshot
+ - g_maxplayers
+ - g_maxplayers_spectator_blocktime
+ - g_maxpushtime
+ - g_maxspeed
+ - g_midair
+ - g_midair_shieldtime
+ - g_minstagib
+ - g_minstagib_ammo_drop
+ - g_minstagib_ammo_start
+ - g_minstagib_extralives
+ - g_minstagib_invis_alpha
+ - g_minstagib_speed_highspeed
+ - g_mirrordamage
+ - g_mirrordamage_virtual
+ - g_mod_balance
+ - g_mod_config
+ - g_mod_physics
+ - g_movement_highspeed
+ - g_multijump
+ - g_multijump_add
+ - g_multijump_speed
+ - g_mutatormsg
+ - g_nb_respawn_delay
+ - g_nb_respawn_waves
+ - g_nb_weapon_stay
+ - g_new_toys
+ - g_new_toys_autoreplace
+ - g_nexball
+ - g_nexball_basketball_bouncefactor
+ - g_nexball_basketball_bouncestop
+ - g_nexball_basketball_carrier_highspeed
+ - g_nexball_basketball_delay_hold
+ - g_nexball_basketball_delay_hold_forteam
+ - g_nexball_basketball_effects_default
+ - g_nexball_basketball_meter
+ - g_nexball_basketball_meter_maxpower
+ - g_nexball_basketball_meter_minpower
+ - g_nexball_basketball_teamsteal
+ - g_nexball_basketball_trail
+ - g_nexball_delay_collect
+ - g_nexball_delay_goal
+ - g_nexball_delay_idle
+ - g_nexball_delay_start
+ - g_nexball_football_boost_forward
+ - g_nexball_football_boost_up
+ - g_nexball_football_bouncefactor
+ - g_nexball_football_bouncestop
+ - g_nexball_football_physics
+ - g_nexball_football_trail
+ - g_nexball_goalleadlimit
+ - g_nexball_goallimit
+ - g_nexball_meter_period
+ - g_nexball_radar_showallplayers
+ - g_nexball_safepass_holdtime
+ - g_nexball_safepass_maxdist
+ - g_nexball_safepass_turnrate
+ - g_nexball_sound_bounce
+ - g_nexball_tackling
+ - g_nexball_trail_color
+ - g_nexball_viewmodel_offset
+ - g_nexball_viewmodel_scale
+ - g_nick_flood_penalty
+ - g_nick_flood_penalty_red
+ - g_nick_flood_penalty_yellow
+ - g_nick_flood_timeout
+ - g_nix
+ - g_nix_with_healtharmor
+ - g_nix_with_laser
+ - g_nix_with_powerups
+ - g_nodepthtestitems
+ - g_nodepthtestplayers
+ - g_norecoil
+ - g_ons_respawn_delay
+ - g_ons_respawn_waves
+ - g_ons_weapon_stay
+ - g_onslaught
+ - g_onslaught_cp_buildhealth
+ - g_onslaught_cp_buildtime
+ - g_onslaught_cp_health
+ - g_onslaught_cp_proxydecap
+ - g_onslaught_cp_proxydecap_distance
+ - g_onslaught_cp_proxydecap_dps
+ - g_onslaught_cp_regen
+ - g_onslaught_gen_health
+ - g_onslaught_spawn_at_controlpoints
+ - g_onslaught_spawn_at_generator
+ - g_physical_items
+ - g_physical_items_damageforcescale
+ - g_physical_items_reset
+ - g_pickup_ammo_anyway
+ - g_pickup_armorbig
+ - g_pickup_armorbig_anyway
+ - g_pickup_armorbig_max
+ - g_pickup_armorlarge
+ - g_pickup_armorlarge_anyway
+ - g_pickup_armorlarge_max
+ - g_pickup_armormedium
+ - g_pickup_armormedium_anyway
+ - g_pickup_armormedium_max
+ - g_pickup_armorsmall
+ - g_pickup_armorsmall_anyway
+ - g_pickup_armorsmall_max
+ - g_pickup_cells
+ - g_pickup_cells_max
+ - g_pickup_cells_weapon
+ - g_pickup_fuel
+ - g_pickup_fuel_jetpack
+ - g_pickup_fuel_max
+ - g_pickup_fuel_weapon
+ - g_pickup_healthlarge
+ - g_pickup_healthlarge_anyway
+ - g_pickup_healthlarge_max
+ - g_pickup_healthmedium
+ - g_pickup_healthmedium_anyway
+ - g_pickup_healthmedium_max
+ - g_pickup_healthmega
+ - g_pickup_healthmega_anyway
+ - g_pickup_healthmega_max
+ - g_pickup_healthsmall
+ - g_pickup_healthsmall_anyway
+ - g_pickup_healthsmall_max
+ - g_pickup_items
+ - g_pickup_nails
+ - g_pickup_nails_max
+ - g_pickup_nails_weapon
+ - g_pickup_respawntime_ammo
+ - g_pickup_respawntime_long
+ - g_pickup_respawntime_medium
+ - g_pickup_respawntime_powerup
+ - g_pickup_respawntime_short
+ - g_pickup_respawntime_superweapon
+ - g_pickup_respawntime_weapon
+ - g_pickup_respawntimejitter_ammo
+ - g_pickup_respawntimejitter_long
+ - g_pickup_respawntimejitter_medium
+ - g_pickup_respawntimejitter_powerup
+ - g_pickup_respawntimejitter_short
+ - g_pickup_respawntimejitter_superweapon
+ - g_pickup_respawntimejitter_weapon
+ - g_pickup_rockets
+ - g_pickup_rockets_max
+ - g_pickup_rockets_weapon
+ - g_pickup_shells
+ - g_pickup_shells_max
+ - g_pickup_shells_weapon
+ - g_pickup_weapons_anyway
+ - g_pinata
+ - g_player_alpha
+ - g_player_brightness
+ - g_playerclip_collisions
+ - g_playerstats_uri
+ - g_powerups
+ - g_projectiles_damage
+ - g_projectiles_keep_owner
+ - g_projectiles_newton_style
+ - g_projectiles_newton_style_2_maxfactor
+ - g_projectiles_newton_style_2_minfactor
+ - g_projectiles_spread_style
+ - g_race
+ - g_race_laps_limit
+ - g_race_qualifying_timelimit
+ - g_race_qualifying_timelimit_override
+ - g_race_teams
+ - g_rc_respawn_delay
+ - g_rc_respawn_waves
+ - g_rc_weapon_stay
+ - g_respawn_delay
+ - g_respawn_ghosts
+ - g_respawn_ghosts_maxtime
+ - g_respawn_ghosts_speed
+ - g_respawn_waves
+ - g_rocket_flying
+ - g_running_guns
+ - g_sandbox
+ - g_sandbox_editor_distance_edit
+ - g_sandbox_editor_distance_spawn
+ - g_sandbox_editor_flood
+ - g_sandbox_editor_free
+ - g_sandbox_editor_maxobjects
+ - g_sandbox_info
+ - g_sandbox_object_material_velocity_factor
+ - g_sandbox_object_material_velocity_min
+ - g_sandbox_object_scale_max
+ - g_sandbox_object_scale_min
+ - g_sandbox_readonly
+ - g_sandbox_storage_autoload
+ - g_sandbox_storage_autosave
+ - g_sandbox_storage_name
+ - g_shootfromcenter
+ - g_shootfromclient
+ - g_shootfromeye
+ - g_shootfromfixedorigin
+ - g_showweaponspawns
+ - g_spawn_alloweffects
+ - g_spawn_furthest
+ - g_spawn_near_teammate
+ - g_spawn_near_teammate_distance
+ - g_spawn_useallspawns
+ - g_spawnpoints_auto_move_out_of_solid
+ - g_spawnshieldtime
+ - g_start_ammo_cells
+ - g_start_ammo_fuel
+ - g_start_ammo_nails
+ - g_start_ammo_rockets
+ - g_start_ammo_shells
+ - g_start_delay
+ - g_start_weapon_crylink
+ - g_start_weapon_electro
+ - g_start_weapon_fireball
+ - g_start_weapon_grenadelauncher
+ - g_start_weapon_hagar
+ - g_start_weapon_hlac
+ - g_start_weapon_hook
+ - g_start_weapon_laser
+ - g_start_weapon_minelayer
+ - g_start_weapon_minstanex
+ - g_start_weapon_nex
+ - g_start_weapon_porto
+ - g_start_weapon_rifle
+ - g_start_weapon_rocketlauncher
+ - g_start_weapon_seeker
+ - g_start_weapon_shotgun
+ - g_start_weapon_tuba
+ - g_start_weapon_uzi
+ - g_superspectate
+ - g_tdm
+ - g_tdm_on_dm_maps
+ - g_tdm_respawn_delay
+ - g_tdm_respawn_waves
+ - g_tdm_team_spawns
+ - g_tdm_teams
+ - g_tdm_teams_override
+ - g_tdm_weapon_stay
+ - g_teamdamage_resetspeed
+ - g_teamdamage_threshold
+ - g_telefrags
+ - g_telefrags_avoid
+ - g_telefrags_teamplay
+ - g_teleport_maxspeed
+ - g_throughfloor_damage
+ - g_throughfloor_damage_max_stddev
+ - g_throughfloor_debug
+ - g_throughfloor_force
+ - g_throughfloor_force_max_stddev
+ - g_throughfloor_max_steps_other
+ - g_throughfloor_max_steps_player
+ - g_throughfloor_min_steps_other
+ - g_throughfloor_min_steps_player
+ - g_touchexplode
+ - g_touchexplode_damage
+ - g_touchexplode_edgedamage
+ - g_touchexplode_force
+ - g_touchexplode_radius
+ - g_triggerimpulse_accel_multiplier
+ - g_triggerimpulse_accel_power
+ - g_triggerimpulse_directional_multiplier
+ - g_triggerimpulse_radial_multiplier
+ - g_trueaim_minrange
+ - g_turrets
+ - g_turrets_aimidle_delay
+ - g_turrets_nofire
+ - g_turrets_reloadcvars
+ - g_turrets_targetscan_maxdelay
+ - g_turrets_targetscan_mindelay
+ - g_turrets_unit_ewheel_speed_fast
+ - g_turrets_unit_ewheel_speed_slow
+ - g_turrets_unit_ewheel_speed_slower
+ - g_turrets_unit_ewheel_speed_stop
+ - g_turrets_unit_ewheel_std_aim_firetolerance_dist
+ - g_turrets_unit_ewheel_std_aim_maxpitch
+ - g_turrets_unit_ewheel_std_aim_maxrot
+ - g_turrets_unit_ewheel_std_aim_speed
+ - g_turrets_unit_ewheel_std_ammo
+ - g_turrets_unit_ewheel_std_ammo_max
+ - g_turrets_unit_ewheel_std_ammo_recharge
+ - g_turrets_unit_ewheel_std_health
+ - g_turrets_unit_ewheel_std_respawntime
+ - g_turrets_unit_ewheel_std_shot_dmg
+ - g_turrets_unit_ewheel_std_shot_force
+ - g_turrets_unit_ewheel_std_shot_radius
+ - g_turrets_unit_ewheel_std_shot_refire
+ - g_turrets_unit_ewheel_std_shot_speed
+ - g_turrets_unit_ewheel_std_shot_spread
+ - g_turrets_unit_ewheel_std_shot_volly
+ - g_turrets_unit_ewheel_std_shot_volly_refire
+ - g_turrets_unit_ewheel_std_target_range
+ - g_turrets_unit_ewheel_std_target_range_min
+ - g_turrets_unit_ewheel_std_target_range_optimal
+ - g_turrets_unit_ewheel_std_target_select_anglebias
+ - g_turrets_unit_ewheel_std_target_select_missilebias
+ - g_turrets_unit_ewheel_std_target_select_playerbias
+ - g_turrets_unit_ewheel_std_target_select_rangebias
+ - g_turrets_unit_ewheel_std_target_select_samebias
+ - g_turrets_unit_ewheel_std_track_accel_pitch
+ - g_turrets_unit_ewheel_std_track_accel_rot
+ - g_turrets_unit_ewheel_std_track_type
+ - g_turrets_unit_ewheel_turnrate
+ - g_turrets_unit_flac_std_aim_firetolerance_dist
+ - g_turrets_unit_flac_std_aim_maxpitch
+ - g_turrets_unit_flac_std_aim_maxrot
+ - g_turrets_unit_flac_std_aim_speed
+ - g_turrets_unit_flac_std_ammo
+ - g_turrets_unit_flac_std_ammo_max
+ - g_turrets_unit_flac_std_ammo_recharge
+ - g_turrets_unit_flac_std_health
+ - g_turrets_unit_flac_std_respawntime
+ - g_turrets_unit_flac_std_shot_dmg
+ - g_turrets_unit_flac_std_shot_force
+ - g_turrets_unit_flac_std_shot_radius
+ - g_turrets_unit_flac_std_shot_refire
+ - g_turrets_unit_flac_std_shot_speed
+ - g_turrets_unit_flac_std_shot_spread
+ - g_turrets_unit_flac_std_shot_volly
+ - g_turrets_unit_flac_std_shot_volly_refire
+ - g_turrets_unit_flac_std_target_range
+ - g_turrets_unit_flac_std_target_range_min
+ - g_turrets_unit_flac_std_target_range_optimal
+ - g_turrets_unit_flac_std_target_select_anglebias
+ - g_turrets_unit_flac_std_target_select_missilebias
+ - g_turrets_unit_flac_std_target_select_playerbias
+ - g_turrets_unit_flac_std_target_select_rangebias
+ - g_turrets_unit_flac_std_target_select_samebias
+ - g_turrets_unit_flac_std_track_accel_pitch
+ - g_turrets_unit_flac_std_track_accel_rot
+ - g_turrets_unit_flac_std_track_blendrate
+ - g_turrets_unit_flac_std_track_type
+ - g_turrets_unit_fusreac_std_aim_firetolerance_dist
+ - g_turrets_unit_fusreac_std_aim_maxpitch
+ - g_turrets_unit_fusreac_std_aim_maxrot
+ - g_turrets_unit_fusreac_std_aim_speed
+ - g_turrets_unit_fusreac_std_ammo
+ - g_turrets_unit_fusreac_std_ammo_max
+ - g_turrets_unit_fusreac_std_ammo_recharge
+ - g_turrets_unit_fusreac_std_health
+ - g_turrets_unit_fusreac_std_respawntime
+ - g_turrets_unit_fusreac_std_shot_dmg
+ - g_turrets_unit_fusreac_std_shot_force
+ - g_turrets_unit_fusreac_std_shot_radius
+ - g_turrets_unit_fusreac_std_shot_refire
+ - g_turrets_unit_fusreac_std_shot_speed
+ - g_turrets_unit_fusreac_std_shot_spread
+ - g_turrets_unit_fusreac_std_shot_volly
+ - g_turrets_unit_fusreac_std_shot_volly_refire
+ - g_turrets_unit_fusreac_std_target_range
+ - g_turrets_unit_fusreac_std_target_range_min
+ - g_turrets_unit_fusreac_std_target_range_optimal
+ - g_turrets_unit_fusreac_std_target_select_anglebias
+ - g_turrets_unit_fusreac_std_target_select_playerbias
+ - g_turrets_unit_fusreac_std_target_select_rangebias
+ - g_turrets_unit_fusreac_std_target_select_samebias
+ - g_turrets_unit_fusreac_std_track_accel_pitch
+ - g_turrets_unit_fusreac_std_track_accel_rot
+ - g_turrets_unit_fusreac_std_track_blendrate
+ - g_turrets_unit_fusreac_std_track_type
+ - g_turrets_unit_hellion_std_aim_firetolerance_dist
+ - g_turrets_unit_hellion_std_aim_maxpitch
+ - g_turrets_unit_hellion_std_aim_maxrot
+ - g_turrets_unit_hellion_std_aim_speed
+ - g_turrets_unit_hellion_std_ammo
+ - g_turrets_unit_hellion_std_ammo_max
+ - g_turrets_unit_hellion_std_ammo_recharge
+ - g_turrets_unit_hellion_std_health
+ - g_turrets_unit_hellion_std_respawntime
+ - g_turrets_unit_hellion_std_shot_dmg
+ - g_turrets_unit_hellion_std_shot_force
+ - g_turrets_unit_hellion_std_shot_radius
+ - g_turrets_unit_hellion_std_shot_refire
+ - g_turrets_unit_hellion_std_shot_speed
+ - g_turrets_unit_hellion_std_shot_speed_gain
+ - g_turrets_unit_hellion_std_shot_speed_max
+ - g_turrets_unit_hellion_std_shot_spread
+ - g_turrets_unit_hellion_std_shot_volly
+ - g_turrets_unit_hellion_std_shot_volly_refire
+ - g_turrets_unit_hellion_std_target_range
+ - g_turrets_unit_hellion_std_target_range_min
+ - g_turrets_unit_hellion_std_target_range_optimal
+ - g_turrets_unit_hellion_std_target_select_anglebias
+ - g_turrets_unit_hellion_std_target_select_missilebias
+ - g_turrets_unit_hellion_std_target_select_playerbias
+ - g_turrets_unit_hellion_std_target_select_rangebias
+ - g_turrets_unit_hellion_std_target_select_samebias
+ - g_turrets_unit_hellion_std_track_accel_pitch
+ - g_turrets_unit_hellion_std_track_accel_rot
+ - g_turrets_unit_hellion_std_track_blendrate
+ - g_turrets_unit_hellion_std_track_type
+ - g_turrets_unit_hk_std_aim_firetolerance_dist
+ - g_turrets_unit_hk_std_aim_maxpitch
+ - g_turrets_unit_hk_std_aim_maxrot
+ - g_turrets_unit_hk_std_aim_speed
+ - g_turrets_unit_hk_std_ammo
+ - g_turrets_unit_hk_std_ammo_max
+ - g_turrets_unit_hk_std_ammo_recharge
+ - g_turrets_unit_hk_std_health
+ - g_turrets_unit_hk_std_respawntime
+ - g_turrets_unit_hk_std_shot_dmg
+ - g_turrets_unit_hk_std_shot_force
+ - g_turrets_unit_hk_std_shot_radius
+ - g_turrets_unit_hk_std_shot_refire
+ - g_turrets_unit_hk_std_shot_speed
+ - g_turrets_unit_hk_std_shot_speed_accel
+ - g_turrets_unit_hk_std_shot_speed_accel2
+ - g_turrets_unit_hk_std_shot_speed_decel
+ - g_turrets_unit_hk_std_shot_speed_max
+ - g_turrets_unit_hk_std_shot_speed_turnrate
+ - g_turrets_unit_hk_std_shot_spread
+ - g_turrets_unit_hk_std_shot_volly
+ - g_turrets_unit_hk_std_shot_volly_refire
+ - g_turrets_unit_hk_std_target_range
+ - g_turrets_unit_hk_std_target_range_min
+ - g_turrets_unit_hk_std_target_range_optimal
+ - g_turrets_unit_hk_std_target_select_anglebias
+ - g_turrets_unit_hk_std_target_select_missilebias
+ - g_turrets_unit_hk_std_target_select_playerbias
+ - g_turrets_unit_hk_std_target_select_rangebias
+ - g_turrets_unit_hk_std_target_select_samebias
+ - g_turrets_unit_hk_std_track_accel_pitch
+ - g_turrets_unit_hk_std_track_accel_rot
+ - g_turrets_unit_hk_std_track_blendrate
+ - g_turrets_unit_hk_std_track_type
+ - g_turrets_unit_machinegun_std_aim_firetolerance_dist
+ - g_turrets_unit_machinegun_std_aim_maxpitch
+ - g_turrets_unit_machinegun_std_aim_maxrot
+ - g_turrets_unit_machinegun_std_aim_speed
+ - g_turrets_unit_machinegun_std_ammo
+ - g_turrets_unit_machinegun_std_ammo_max
+ - g_turrets_unit_machinegun_std_ammo_recharge
+ - g_turrets_unit_machinegun_std_health
+ - g_turrets_unit_machinegun_std_respawntime
+ - g_turrets_unit_machinegun_std_shot_dmg
+ - g_turrets_unit_machinegun_std_shot_force
+ - g_turrets_unit_machinegun_std_shot_radius
+ - g_turrets_unit_machinegun_std_shot_refire
+ - g_turrets_unit_machinegun_std_shot_speed
+ - g_turrets_unit_machinegun_std_shot_spread
+ - g_turrets_unit_machinegun_std_shot_volly
+ - g_turrets_unit_machinegun_std_shot_volly_refire
+ - g_turrets_unit_machinegun_std_target_range
+ - g_turrets_unit_machinegun_std_target_range_min
+ - g_turrets_unit_machinegun_std_target_range_optimal
+ - g_turrets_unit_machinegun_std_target_select_anglebias
+ - g_turrets_unit_machinegun_std_target_select_missilebias
+ - g_turrets_unit_machinegun_std_target_select_playerbias
+ - g_turrets_unit_machinegun_std_target_select_rangebias
+ - g_turrets_unit_machinegun_std_target_select_samebias
+ - g_turrets_unit_machinegun_std_track_accel_pitch
+ - g_turrets_unit_machinegun_std_track_accel_rot
+ - g_turrets_unit_machinegun_std_track_blendrate
+ - g_turrets_unit_machinegun_std_track_type
+ - g_turrets_unit_mlrs_std_aim_firetolerance_dist
+ - g_turrets_unit_mlrs_std_aim_maxpitch
+ - g_turrets_unit_mlrs_std_aim_maxrot
+ - g_turrets_unit_mlrs_std_aim_speed
+ - g_turrets_unit_mlrs_std_ammo
+ - g_turrets_unit_mlrs_std_ammo_max
+ - g_turrets_unit_mlrs_std_ammo_recharge
+ - g_turrets_unit_mlrs_std_health
+ - g_turrets_unit_mlrs_std_respawntime
+ - g_turrets_unit_mlrs_std_shot_dmg
+ - g_turrets_unit_mlrs_std_shot_force
+ - g_turrets_unit_mlrs_std_shot_radius
+ - g_turrets_unit_mlrs_std_shot_refire
+ - g_turrets_unit_mlrs_std_shot_speed
+ - g_turrets_unit_mlrs_std_shot_spread
+ - g_turrets_unit_mlrs_std_shot_volly
+ - g_turrets_unit_mlrs_std_shot_volly_refire
+ - g_turrets_unit_mlrs_std_target_range
+ - g_turrets_unit_mlrs_std_target_range_min
+ - g_turrets_unit_mlrs_std_target_range_optimal
+ - g_turrets_unit_mlrs_std_target_select_anglebias
+ - g_turrets_unit_mlrs_std_target_select_missilebias
+ - g_turrets_unit_mlrs_std_target_select_playerbias
+ - g_turrets_unit_mlrs_std_target_select_rangebias
+ - g_turrets_unit_mlrs_std_target_select_samebias
+ - g_turrets_unit_mlrs_std_track_accel_pitch
+ - g_turrets_unit_mlrs_std_track_accel_rot
+ - g_turrets_unit_mlrs_std_track_blendrate
+ - g_turrets_unit_mlrs_std_track_type
+ - g_turrets_unit_phaser_std_aim_firetolerance_dist
+ - g_turrets_unit_phaser_std_aim_maxpitch
+ - g_turrets_unit_phaser_std_aim_maxrot
+ - g_turrets_unit_phaser_std_aim_speed
+ - g_turrets_unit_phaser_std_ammo
+ - g_turrets_unit_phaser_std_ammo_max
+ - g_turrets_unit_phaser_std_ammo_recharge
+ - g_turrets_unit_phaser_std_health
+ - g_turrets_unit_phaser_std_respawntime
+ - g_turrets_unit_phaser_std_shot_dmg
+ - g_turrets_unit_phaser_std_shot_force
+ - g_turrets_unit_phaser_std_shot_radius
+ - g_turrets_unit_phaser_std_shot_refire
+ - g_turrets_unit_phaser_std_shot_speed
+ - g_turrets_unit_phaser_std_shot_spread
+ - g_turrets_unit_phaser_std_shot_volly
+ - g_turrets_unit_phaser_std_shot_volly_refire
+ - g_turrets_unit_phaser_std_target_range
+ - g_turrets_unit_phaser_std_target_range_min
+ - g_turrets_unit_phaser_std_target_range_optimal
+ - g_turrets_unit_phaser_std_target_select_anglebias
+ - g_turrets_unit_phaser_std_target_select_missilebias
+ - g_turrets_unit_phaser_std_target_select_playerbias
+ - g_turrets_unit_phaser_std_target_select_rangebias
+ - g_turrets_unit_phaser_std_target_select_samebias
+ - g_turrets_unit_phaser_std_track_accel_pitch
+ - g_turrets_unit_phaser_std_track_accel_rot
+ - g_turrets_unit_phaser_std_track_blendrate
+ - g_turrets_unit_phaser_std_track_type
+ - g_turrets_unit_plasma_dual_aim_firetolerance_dist
+ - g_turrets_unit_plasma_dual_aim_maxpitch
+ - g_turrets_unit_plasma_dual_aim_maxrot
+ - g_turrets_unit_plasma_dual_aim_speed
+ - g_turrets_unit_plasma_dual_ammo
+ - g_turrets_unit_plasma_dual_ammo_max
+ - g_turrets_unit_plasma_dual_ammo_recharge
+ - g_turrets_unit_plasma_dual_health
+ - g_turrets_unit_plasma_dual_respawntime
+ - g_turrets_unit_plasma_dual_shot_dmg
+ - g_turrets_unit_plasma_dual_shot_force
+ - g_turrets_unit_plasma_dual_shot_radius
+ - g_turrets_unit_plasma_dual_shot_refire
+ - g_turrets_unit_plasma_dual_shot_speed
+ - g_turrets_unit_plasma_dual_shot_spread
+ - g_turrets_unit_plasma_dual_shot_volly
+ - g_turrets_unit_plasma_dual_shot_volly_refire
+ - g_turrets_unit_plasma_dual_target_range
+ - g_turrets_unit_plasma_dual_target_range_min
+ - g_turrets_unit_plasma_dual_target_range_optimal
+ - g_turrets_unit_plasma_dual_target_select_anglebias
+ - g_turrets_unit_plasma_dual_target_select_missilebias
+ - g_turrets_unit_plasma_dual_target_select_playerbias
+ - g_turrets_unit_plasma_dual_target_select_rangebias
+ - g_turrets_unit_plasma_dual_target_select_samebias
+ - g_turrets_unit_plasma_dual_track_accel_pitch
+ - g_turrets_unit_plasma_dual_track_accel_rot
+ - g_turrets_unit_plasma_dual_track_blendrate
+ - g_turrets_unit_plasma_dual_track_type
+ - g_turrets_unit_plasma_std_aim_firetolerance_dist
+ - g_turrets_unit_plasma_std_aim_maxpitch
+ - g_turrets_unit_plasma_std_aim_maxrot
+ - g_turrets_unit_plasma_std_aim_speed
+ - g_turrets_unit_plasma_std_ammo
+ - g_turrets_unit_plasma_std_ammo_max
+ - g_turrets_unit_plasma_std_ammo_recharge
+ - g_turrets_unit_plasma_std_health
+ - g_turrets_unit_plasma_std_respawntime
+ - g_turrets_unit_plasma_std_shot_dmg
+ - g_turrets_unit_plasma_std_shot_force
+ - g_turrets_unit_plasma_std_shot_radius
+ - g_turrets_unit_plasma_std_shot_refire
+ - g_turrets_unit_plasma_std_shot_speed
+ - g_turrets_unit_plasma_std_shot_spread
+ - g_turrets_unit_plasma_std_shot_volly
+ - g_turrets_unit_plasma_std_shot_volly_refire
+ - g_turrets_unit_plasma_std_target_range
+ - g_turrets_unit_plasma_std_target_range_min
+ - g_turrets_unit_plasma_std_target_range_optimal
+ - g_turrets_unit_plasma_std_target_select_anglebias
+ - g_turrets_unit_plasma_std_target_select_missilebias
+ - g_turrets_unit_plasma_std_target_select_playerbias
+ - g_turrets_unit_plasma_std_target_select_rangebias
+ - g_turrets_unit_plasma_std_target_select_samebias
+ - g_turrets_unit_plasma_std_track_accel_pitch
+ - g_turrets_unit_plasma_std_track_accel_rot
+ - g_turrets_unit_plasma_std_track_blendrate
+ - g_turrets_unit_plasma_std_track_type
+ - g_turrets_unit_tesla_std_ammo
+ - g_turrets_unit_tesla_std_ammo_max
+ - g_turrets_unit_tesla_std_ammo_recharge
+ - g_turrets_unit_tesla_std_health
+ - g_turrets_unit_tesla_std_respawntime
+ - g_turrets_unit_tesla_std_shot_dmg
+ - g_turrets_unit_tesla_std_shot_force
+ - g_turrets_unit_tesla_std_shot_refire
+ - g_turrets_unit_tesla_std_shot_volly
+ - g_turrets_unit_tesla_std_shot_volly_refire
+ - g_turrets_unit_tesla_std_target_range
+ - g_turrets_unit_tesla_std_target_range_min
+ - g_turrets_unit_tesla_std_target_select_missilebias
+ - g_turrets_unit_tesla_std_target_select_playerbias
+ - g_turrets_unit_walker_speed_jump
+ - g_turrets_unit_walker_speed_roam
+ - g_turrets_unit_walker_speed_run
+ - g_turrets_unit_walker_speed_stop
+ - g_turrets_unit_walker_speed_swim
+ - g_turrets_unit_walker_speed_walk
+ - g_turrets_unit_walker_std_aim_firetolerance_dist
+ - g_turrets_unit_walker_std_aim_maxpitch
+ - g_turrets_unit_walker_std_aim_maxrot
+ - g_turrets_unit_walker_std_aim_speed
+ - g_turrets_unit_walker_std_ammo
+ - g_turrets_unit_walker_std_ammo_max
+ - g_turrets_unit_walker_std_ammo_recharge
+ - g_turrets_unit_walker_std_health
+ - g_turrets_unit_walker_std_meele_dmg
+ - g_turrets_unit_walker_std_meele_force
+ - g_turrets_unit_walker_std_meele_range
+ - g_turrets_unit_walker_std_respawntime
+ - g_turrets_unit_walker_std_rocket_dmg
+ - g_turrets_unit_walker_std_rocket_force
+ - g_turrets_unit_walker_std_rocket_radius
+ - g_turrets_unit_walker_std_rocket_refire
+ - g_turrets_unit_walker_std_rocket_speed
+ - g_turrets_unit_walker_std_rocket_turnrate
+ - g_turrets_unit_walker_std_rockets_range
+ - g_turrets_unit_walker_std_rockets_range_min
+ - g_turrets_unit_walker_std_shot_dmg
+ - g_turrets_unit_walker_std_shot_force
+ - g_turrets_unit_walker_std_shot_radius
+ - g_turrets_unit_walker_std_shot_refire
+ - g_turrets_unit_walker_std_shot_speed
+ - g_turrets_unit_walker_std_shot_spread
+ - g_turrets_unit_walker_std_shot_volly
+ - g_turrets_unit_walker_std_shot_volly_refire
+ - g_turrets_unit_walker_std_target_range
+ - g_turrets_unit_walker_std_target_range_min
+ - g_turrets_unit_walker_std_target_range_optimal
+ - g_turrets_unit_walker_std_target_select_anglebias
+ - g_turrets_unit_walker_std_target_select_missilebias
+ - g_turrets_unit_walker_std_target_select_playerbias
+ - g_turrets_unit_walker_std_target_select_rangebias
+ - g_turrets_unit_walker_std_target_select_samebias
+ - g_turrets_unit_walker_std_track_accel_pitch
+ - g_turrets_unit_walker_std_track_accel_rot
+ - g_turrets_unit_walker_std_track_blendrate
+ - g_turrets_unit_walker_std_track_type
+ - g_turrets_unit_walker_turn
+ - g_turrets_unit_walker_turn_run
+ - g_turrets_unit_walker_turn_strafe
+ - g_turrets_unit_walker_turn_swim
+ - g_turrets_unit_walker_turn_walk
+ - g_use_ammunition
+ - g_vampire
+ - g_vehicle_bumblebee
+ - g_vehicle_bumblebee_blowup_coredamage
+ - g_vehicle_bumblebee_blowup_edgedamage
+ - g_vehicle_bumblebee_blowup_forceintensity
+ - g_vehicle_bumblebee_blowup_radius
+ - g_vehicle_bumblebee_bouncepain
+ - g_vehicle_bumblebee_cannon_ammo
+ - g_vehicle_bumblebee_cannon_ammo_regen
+ - g_vehicle_bumblebee_cannon_ammo_regen_pause
+ - g_vehicle_bumblebee_cannon_cost
+ - g_vehicle_bumblebee_cannon_damage
+ - g_vehicle_bumblebee_cannon_force
+ - g_vehicle_bumblebee_cannon_lock
+ - g_vehicle_bumblebee_cannon_pitchlimit_down
+ - g_vehicle_bumblebee_cannon_pitchlimit_up
+ - g_vehicle_bumblebee_cannon_radius
+ - g_vehicle_bumblebee_cannon_refire
+ - g_vehicle_bumblebee_cannon_speed
+ - g_vehicle_bumblebee_cannon_spread
+ - g_vehicle_bumblebee_cannon_turnlimit_in
+ - g_vehicle_bumblebee_cannon_turnlimit_out
+ - g_vehicle_bumblebee_cannon_turnspeed
+ - g_vehicle_bumblebee_energy
+ - g_vehicle_bumblebee_energy_regen
+ - g_vehicle_bumblebee_energy_regen_pause
+ - g_vehicle_bumblebee_friction
+ - g_vehicle_bumblebee_healgun_amax
+ - g_vehicle_bumblebee_healgun_aps
+ - g_vehicle_bumblebee_healgun_hmax
+ - g_vehicle_bumblebee_healgun_hps
+ - g_vehicle_bumblebee_healgun_locktime
+ - g_vehicle_bumblebee_healgun_smax
+ - g_vehicle_bumblebee_healgun_sps
+ - g_vehicle_bumblebee_health
+ - g_vehicle_bumblebee_health_regen
+ - g_vehicle_bumblebee_health_regen_pause
+ - g_vehicle_bumblebee_pitchlimit
+ - g_vehicle_bumblebee_pitchspeed
+ - g_vehicle_bumblebee_raygun
+ - g_vehicle_bumblebee_raygun_aps
+ - g_vehicle_bumblebee_raygun_dps
+ - g_vehicle_bumblebee_raygun_fps
+ - g_vehicle_bumblebee_raygun_pitchlimit_down
+ - g_vehicle_bumblebee_raygun_pitchlimit_up
+ - g_vehicle_bumblebee_raygun_range
+ - g_vehicle_bumblebee_raygun_turnlimit_sides
+ - g_vehicle_bumblebee_raygun_turnspeed
+ - g_vehicle_bumblebee_respawntime
+ - g_vehicle_bumblebee_shield
+ - g_vehicle_bumblebee_shield_regen
+ - g_vehicle_bumblebee_shield_regen_pause
+ - g_vehicle_bumblebee_speed_down
+ - g_vehicle_bumblebee_speed_forward
+ - g_vehicle_bumblebee_speed_strafe
+ - g_vehicle_bumblebee_speed_up
+ - g_vehicle_bumblebee_turnspeed
+ - g_vehicle_racer
+ - g_vehicle_racer_afterburn_cost
+ - g_vehicle_racer_anglestabilizer
+ - g_vehicle_racer_blowup_coredamage
+ - g_vehicle_racer_blowup_edgedamage
+ - g_vehicle_racer_blowup_forceintensity
+ - g_vehicle_racer_blowup_radius
+ - g_vehicle_racer_bouncefactor
+ - g_vehicle_racer_bouncepain
+ - g_vehicle_racer_bouncestop
+ - g_vehicle_racer_cannon_cost
+ - g_vehicle_racer_cannon_damage
+ - g_vehicle_racer_cannon_force
+ - g_vehicle_racer_cannon_radius
+ - g_vehicle_racer_cannon_refire
+ - g_vehicle_racer_cannon_speed
+ - g_vehicle_racer_cannon_spread
+ - g_vehicle_racer_collision_multiplier
+ - g_vehicle_racer_downforce
+ - g_vehicle_racer_energy
+ - g_vehicle_racer_energy_regen
+ - g_vehicle_racer_energy_regen_pause
+ - g_vehicle_racer_friction
+ - g_vehicle_racer_health
+ - g_vehicle_racer_health_regen
+ - g_vehicle_racer_health_regen_pause
+ - g_vehicle_racer_hoverpower
+ - g_vehicle_racer_hovertype
+ - g_vehicle_racer_mass
+ - g_vehicle_racer_maxpitch
+ - g_vehicle_racer_pitchspeed
+ - g_vehicle_racer_respawntime
+ - g_vehicle_racer_rocket_accel
+ - g_vehicle_racer_rocket_climbspeed
+ - g_vehicle_racer_rocket_cost
+ - g_vehicle_racer_rocket_damage
+ - g_vehicle_racer_rocket_force
+ - g_vehicle_racer_rocket_locked_maxangle
+ - g_vehicle_racer_rocket_locked_time
+ - g_vehicle_racer_rocket_locking_releasetime
+ - g_vehicle_racer_rocket_locking_time
+ - g_vehicle_racer_rocket_locktarget
+ - g_vehicle_racer_rocket_radius
+ - g_vehicle_racer_rocket_refire
+ - g_vehicle_racer_rocket_speed
+ - g_vehicle_racer_rocket_turnrate
+ - g_vehicle_racer_shield
+ - g_vehicle_racer_shield_regen
+ - g_vehicle_racer_shield_regen_pause
+ - g_vehicle_racer_speed_afterburn
+ - g_vehicle_racer_speed_forward
+ - g_vehicle_racer_speed_stop
+ - g_vehicle_racer_speed_strafe
+ - g_vehicle_racer_springlength
+ - g_vehicle_racer_turnroll
+ - g_vehicle_racer_turnspeed
+ - g_vehicle_racer_upforcedamper
+ - g_vehicle_raptor
+ - g_vehicle_raptor_bomblet_alt
+ - g_vehicle_raptor_bomblet_damage
+ - g_vehicle_raptor_bomblet_edgedamage
+ - g_vehicle_raptor_bomblet_explode_delay
+ - g_vehicle_raptor_bomblet_force
+ - g_vehicle_raptor_bomblet_radius
+ - g_vehicle_raptor_bomblet_spread
+ - g_vehicle_raptor_bomblet_time
+ - g_vehicle_raptor_bomblets
+ - g_vehicle_raptor_bombs_refire
+ - g_vehicle_raptor_bouncefactor
+ - g_vehicle_raptor_bouncepain
+ - g_vehicle_raptor_bouncestop
+ - g_vehicle_raptor_cannon_cost
+ - g_vehicle_raptor_cannon_damage
+ - g_vehicle_raptor_cannon_force
+ - g_vehicle_raptor_cannon_locked_time
+ - g_vehicle_raptor_cannon_locking_releasetime
+ - g_vehicle_raptor_cannon_locking_time
+ - g_vehicle_raptor_cannon_locktarget
+ - g_vehicle_raptor_cannon_pitchlimit_down
+ - g_vehicle_raptor_cannon_pitchlimit_up
+ - g_vehicle_raptor_cannon_predicttarget
+ - g_vehicle_raptor_cannon_radius
+ - g_vehicle_raptor_cannon_refire
+ - g_vehicle_raptor_cannon_speed
+ - g_vehicle_raptor_cannon_spread
+ - g_vehicle_raptor_cannon_turnlimit
+ - g_vehicle_raptor_cannon_turnspeed
+ - g_vehicle_raptor_energy
+ - g_vehicle_raptor_energy_regen
+ - g_vehicle_raptor_energy_regen_pause
+ - g_vehicle_raptor_flare_chase
+ - g_vehicle_raptor_flare_lifetime
+ - g_vehicle_raptor_flare_range
+ - g_vehicle_raptor_flare_refire
+ - g_vehicle_raptor_friction
+ - g_vehicle_raptor_health
+ - g_vehicle_raptor_health_regen
+ - g_vehicle_raptor_health_regen_pause
+ - g_vehicle_raptor_mass
+ - g_vehicle_raptor_movestyle
+ - g_vehicle_raptor_pitchlimit
+ - g_vehicle_raptor_pitchspeed
+ - g_vehicle_raptor_respawntime
+ - g_vehicle_raptor_shield
+ - g_vehicle_raptor_shield_regen
+ - g_vehicle_raptor_shield_regen_pause
+ - g_vehicle_raptor_speed_down
+ - g_vehicle_raptor_speed_forward
+ - g_vehicle_raptor_speed_strafe
+ - g_vehicle_raptor_speed_up
+ - g_vehicle_raptor_takeofftime
+ - g_vehicle_raptor_turnroll
+ - g_vehicle_raptor_turnspeed
+ - g_vehicle_spiderbot
+ - g_vehicle_spiderbot_bouncefactor
+ - g_vehicle_spiderbot_bouncepain
+ - g_vehicle_spiderbot_bouncestop
+ - g_vehicle_spiderbot_energy
+ - g_vehicle_spiderbot_energy_regen
+ - g_vehicle_spiderbot_energy_regen_pause
+ - g_vehicle_spiderbot_head_pitchlimit_down
+ - g_vehicle_spiderbot_head_pitchlimit_up
+ - g_vehicle_spiderbot_head_turnlimit
+ - g_vehicle_spiderbot_head_turnspeed
+ - g_vehicle_spiderbot_health
+ - g_vehicle_spiderbot_health_regen
+ - g_vehicle_spiderbot_health_regen_pause
+ - g_vehicle_spiderbot_minigun_ammo_cost
+ - g_vehicle_spiderbot_minigun_ammo_max
+ - g_vehicle_spiderbot_minigun_ammo_regen
+ - g_vehicle_spiderbot_minigun_ammo_regen_pause
+ - g_vehicle_spiderbot_minigun_bulletconstant
+ - g_vehicle_spiderbot_minigun_damage
+ - g_vehicle_spiderbot_minigun_force
+ - g_vehicle_spiderbot_minigun_refire
+ - g_vehicle_spiderbot_minigun_speed
+ - g_vehicle_spiderbot_minigun_spread
+ - g_vehicle_spiderbot_movement_inertia
+ - g_vehicle_spiderbot_respawntime
+ - g_vehicle_spiderbot_rocket_damage
+ - g_vehicle_spiderbot_rocket_edgedamage
+ - g_vehicle_spiderbot_rocket_force
+ - g_vehicle_spiderbot_rocket_health
+ - g_vehicle_spiderbot_rocket_lifetime
+ - g_vehicle_spiderbot_rocket_noise
+ - g_vehicle_spiderbot_rocket_radius
+ - g_vehicle_spiderbot_rocket_refire
+ - g_vehicle_spiderbot_rocket_refire2
+ - g_vehicle_spiderbot_rocket_reload
+ - g_vehicle_spiderbot_rocket_speed
+ - g_vehicle_spiderbot_rocket_spread
+ - g_vehicle_spiderbot_rocket_turnrate
+ - g_vehicle_spiderbot_shield
+ - g_vehicle_spiderbot_shield_block
+ - g_vehicle_spiderbot_shield_regen
+ - g_vehicle_spiderbot_shield_regen_pause
+ - g_vehicle_spiderbot_speed_stop
+ - g_vehicle_spiderbot_speed_strafe
+ - g_vehicle_spiderbot_speed_walk
+ - g_vehicle_spiderbot_springblend
+ - g_vehicle_spiderbot_springlength
+ - g_vehicle_spiderbot_springup
+ - g_vehicle_spiderbot_tiltlimit
+ - g_vehicle_spiderbot_turnspeed
+ - g_vehicle_spiderbot_turnspeed_strafe
+ - g_vehicles
+ - g_vehicles_crush_dmg
+ - g_vehicles_crush_force
+ - g_vehicles_delayspawn
+ - g_vehicles_delayspawn_jitter
+ - g_vehicles_minstanex_damagerate
+ - g_vehicles_nex_damagerate
+ - g_vehicles_rifle_damagerate
+ - g_vehicles_tag_damagerate
+ - g_vehicles_uzi_damagerate
+ - g_warmup
+ - g_warmup_allguns
+ - g_warmup_allow_timeout
+ - g_warmup_limit
+ - g_warmup_majority_factor
+ - g_warmup_start_ammo_cells
+ - g_warmup_start_ammo_fuel
+ - g_warmup_start_ammo_nails
+ - g_warmup_start_ammo_rockets
+ - g_warmup_start_ammo_shells
+ - g_warmup_start_armor
+ - g_warmup_start_health
+ - g_waypointeditor
+ - g_waypointeditor_auto
+ - g_waypoints_for_items
+ - g_waypointsprite_alpha
+ - g_waypointsprite_crosshairfadealpha
+ - g_waypointsprite_crosshairfadedistance
+ - g_waypointsprite_crosshairfadescale
+ - g_waypointsprite_distancealphaexponent
+ - g_waypointsprite_distancefadealpha
+ - g_waypointsprite_distancefadedistancemultiplier
+ - g_waypointsprite_distancefadescale
+ - g_waypointsprite_edgefadealpha
+ - g_waypointsprite_edgefadedistance
+ - g_waypointsprite_edgefadescale
+ - g_waypointsprite_edgeoffset_bottom
+ - g_waypointsprite_edgeoffset_left
+ - g_waypointsprite_edgeoffset_right
+ - g_waypointsprite_edgeoffset_top
+ - g_waypointsprite_fontsize
+ - g_waypointsprite_minalpha
+ - g_waypointsprite_minscale
+ - g_waypointsprite_normdistance
+ - g_waypointsprite_scale
+ - g_waypointsprite_spam
+ - g_waypointsprite_stuffbinds
+ - g_waypointsprite_tactical
+ - g_waypointsprite_timealphaexponent
+ - g_waypointsprite_turrets
+ - g_waypointsprite_turrets_maxdist
+ - g_waypointsprite_uppercase
+ - g_weapon_charge_colormod_blue_full
+ - g_weapon_charge_colormod_blue_half
+ - g_weapon_charge_colormod_green_full
+ - g_weapon_charge_colormod_green_half
+ - g_weapon_charge_colormod_hdrmultiplier
+ - g_weapon_charge_colormod_red_full
+ - g_weapon_charge_colormod_red_half
+ - g_weapon_stay
+ - g_weapon_throwable
+ - g_weaponarena
+ - g_weaponarena_random
+ - g_weaponarena_random_with_laser
+ - g_weapondamagefactor
+ - g_weaponforcefactor
+ - g_weaponratefactor
+ - g_weaponreplace_crylink
+ - g_weaponreplace_electro
+ - g_weaponreplace_fireball
+ - g_weaponreplace_grenadelauncher
+ - g_weaponreplace_hagar
+ - g_weaponreplace_hlac
+ - g_weaponreplace_hook
+ - g_weaponreplace_laser
+ - g_weaponreplace_minelayer
+ - g_weaponreplace_minstanex
+ - g_weaponreplace_nex
+ - g_weaponreplace_porto
+ - g_weaponreplace_rifle
+ - g_weaponreplace_rocketlauncher
+ - g_weaponreplace_seeker
+ - g_weaponreplace_shotgun
+ - g_weaponreplace_tuba
+ - g_weaponreplace_uzi
+ - g_weaponspeedfactor
+ - g_weaponspreadfactor
+ - g_xonoticversion
+ - gamecfg
+ - gameversion
+ - gameversion_max
+ - gameversion_min
+ - gl_combine
+ - gl_dither
+ - gl_ext_separatestencil
+ - gl_ext_stenciltwoside
+ - gl_finish
+ - gl_flashblend
+ - gl_info_driver
+ - gl_info_extensions
+ - gl_info_platform
+ - gl_info_renderer
+ - gl_info_vendor
+ - gl_info_version
+ - gl_lightmaps
+ - gl_max_lightmapsize
+ - gl_max_size
+ - gl_mesh_drawrangeelements
+ - gl_mesh_prefer_short_elements
+ - gl_mesh_testmanualfeeding
+ - gl_nopartialtextureupdates
+ - gl_paranoid
+ - gl_picmip
+ - gl_picmip_other
+ - gl_picmip_sprites
+ - gl_picmip_world
+ - gl_polyblend
+ - gl_printcheckerror
+ - gl_texture_anisotropy
+ - gl_texturecompression
+ - gl_texturecompression_2d
+ - gl_texturecompression_color
+ - gl_texturecompression_gloss
+ - gl_texturecompression_glow
+ - gl_texturecompression_lightcubemaps
+ - gl_texturecompression_normal
+ - gl_texturecompression_q3bspdeluxemaps
+ - gl_texturecompression_q3bsplightmaps
+ - gl_texturecompression_reflectmask
+ - gl_texturecompression_sky
+ - gl_texturecompression_sprites
+ - gl_vbo
+ - gl_vbo_dynamicindex
+ - gl_vbo_dynamicvertex
+ - halflifebsp
+ - host_framerate
+ - host_maxwait
+ - host_speeds
+ - hostname
+ - hud_colorflash_alpha
+ - hud_colorset_background
+ - hud_colorset_foreground_1
+ - hud_colorset_foreground_2
+ - hud_colorset_foreground_3
+ - hud_colorset_foreground_4
+ - hud_colorset_kill_1
+ - hud_colorset_kill_2
+ - hud_colorset_kill_3
+ - hud_configure_bg_minalpha
+ - hud_configure_checkcollisions
+ - hud_configure_grid
+ - hud_configure_grid_alpha
+ - hud_configure_grid_xsize
+ - hud_configure_grid_ysize
+ - hud_configure_teamcolorforced
+ - hud_contents
+ - hud_contents_blur
+ - hud_contents_blur_alpha
+ - hud_contents_factor
+ - hud_contents_fadeintime
+ - hud_contents_fadeouttime
+ - hud_contents_lava_alpha
+ - hud_contents_lava_color
+ - hud_contents_slime_alpha
+ - hud_contents_slime_color
+ - hud_contents_water_alpha
+ - hud_contents_water_color
+ - hud_cursormode
+ - hud_damage
+ - hud_damage_blur
+ - hud_damage_blur_alpha
+ - hud_damage_color
+ - hud_damage_factor
+ - hud_damage_fade_rate
+ - hud_damage_gentle_alpha_multiplier
+ - hud_damage_gentle_color
+ - hud_damage_maxalpha
+ - hud_damage_pain_threshold
+ - hud_damage_pain_threshold_lower
+ - hud_damage_pain_threshold_lower_health
+ - hud_damage_pain_threshold_pulsating_min
+ - hud_damage_pain_threshold_pulsating_period
+ - hud_dock
+ - hud_dock_alpha
+ - hud_dock_color
+ - hud_dock_color_team
+ - hud_fontsize
+ - hud_panel_ammo
+ - hud_panel_ammo_bg
+ - hud_panel_ammo_bg_alpha
+ - hud_panel_ammo_bg_border
+ - hud_panel_ammo_bg_color
+ - hud_panel_ammo_bg_color_team
+ - hud_panel_ammo_bg_padding
+ - hud_panel_ammo_iconalign
+ - hud_panel_ammo_maxammo
+ - hud_panel_ammo_onlycurrent
+ - hud_panel_ammo_pos
+ - hud_panel_ammo_progressbar
+ - hud_panel_ammo_progressbar_name
+ - hud_panel_ammo_progressbar_xoffset
+ - hud_panel_ammo_size
+ - hud_panel_ammo_text
+ - hud_panel_bg
+ - hud_panel_bg_alpha
+ - hud_panel_bg_border
+ - hud_panel_bg_color
+ - hud_panel_bg_color_team
+ - hud_panel_bg_padding
+ - hud_panel_centerprint
+ - hud_panel_centerprint_align
+ - hud_panel_centerprint_bg
+ - hud_panel_centerprint_bg_alpha
+ - hud_panel_centerprint_bg_border
+ - hud_panel_centerprint_bg_color
+ - hud_panel_centerprint_bg_color_team
+ - hud_panel_centerprint_bg_padding
+ - hud_panel_centerprint_fade_in
+ - hud_panel_centerprint_fade_minfontsize
+ - hud_panel_centerprint_fade_out
+ - hud_panel_centerprint_fade_subsequent
+ - hud_panel_centerprint_fade_subsequent_minfontsize
+ - hud_panel_centerprint_fade_subsequent_passone
+ - hud_panel_centerprint_fade_subsequent_passone_minalpha
+ - hud_panel_centerprint_fade_subsequent_passtwo
+ - hud_panel_centerprint_fade_subsequent_passtwo_minalpha
+ - hud_panel_centerprint_flip
+ - hud_panel_centerprint_fontscale
+ - hud_panel_centerprint_pos
+ - hud_panel_centerprint_size
+ - hud_panel_centerprint_time
+ - hud_panel_chat
+ - hud_panel_chat_bg
+ - hud_panel_chat_bg_alpha
+ - hud_panel_chat_bg_border
+ - hud_panel_chat_bg_color
+ - hud_panel_chat_bg_color_team
+ - hud_panel_chat_bg_padding
+ - hud_panel_chat_pos
+ - hud_panel_chat_size
+ - hud_panel_engineinfo
+ - hud_panel_engineinfo_bg
+ - hud_panel_engineinfo_bg_alpha
+ - hud_panel_engineinfo_bg_border
+ - hud_panel_engineinfo_bg_color
+ - hud_panel_engineinfo_bg_color_team
+ - hud_panel_engineinfo_bg_padding
+ - hud_panel_engineinfo_framecounter_decimals
+ - hud_panel_engineinfo_framecounter_exponentialmovingaverage
+ - hud_panel_engineinfo_framecounter_exponentialmovingaverage_instantupdate_change_threshold
+ - hud_panel_engineinfo_framecounter_exponentialmovingaverage_new_weight
+ - hud_panel_engineinfo_framecounter_time
+ - hud_panel_engineinfo_pos
+ - hud_panel_engineinfo_size
+ - hud_panel_fg_alpha
+ - hud_panel_healtharmor
+ - hud_panel_healtharmor_baralign
+ - hud_panel_healtharmor_bg
+ - hud_panel_healtharmor_bg_alpha
+ - hud_panel_healtharmor_bg_border
+ - hud_panel_healtharmor_bg_color
+ - hud_panel_healtharmor_bg_color_team
+ - hud_panel_healtharmor_bg_padding
+ - hud_panel_healtharmor_flip
+ - hud_panel_healtharmor_iconalign
+ - hud_panel_healtharmor_maxarmor
+ - hud_panel_healtharmor_maxhealth
+ - hud_panel_healtharmor_pos
+ - hud_panel_healtharmor_progressbar
+ - hud_panel_healtharmor_progressbar_armor
+ - hud_panel_healtharmor_progressbar_gfx
+ - hud_panel_healtharmor_progressbar_gfx_damage
+ - hud_panel_healtharmor_progressbar_gfx_lowhealth
+ - hud_panel_healtharmor_progressbar_gfx_smooth
+ - hud_panel_healtharmor_progressbar_health
+ - hud_panel_healtharmor_size
+ - hud_panel_healtharmor_text
+ - hud_panel_infomessages
+ - hud_panel_infomessages_bg
+ - hud_panel_infomessages_bg_alpha
+ - hud_panel_infomessages_bg_border
+ - hud_panel_infomessages_bg_color
+ - hud_panel_infomessages_bg_color_team
+ - hud_panel_infomessages_bg_padding
+ - hud_panel_infomessages_flip
+ - hud_panel_infomessages_pos
+ - hud_panel_infomessages_size
+ - hud_panel_modicons
+ - hud_panel_modicons_bg
+ - hud_panel_modicons_bg_alpha
+ - hud_panel_modicons_bg_border
+ - hud_panel_modicons_bg_color
+ - hud_panel_modicons_bg_color_team
+ - hud_panel_modicons_bg_padding
+ - hud_panel_modicons_ca_layout
+ - hud_panel_modicons_dom_layout
+ - hud_panel_modicons_freezetag_layout
+ - hud_panel_modicons_pos
+ - hud_panel_modicons_size
+ - hud_panel_notify
+ - hud_panel_notify_bg
+ - hud_panel_notify_bg_alpha
+ - hud_panel_notify_bg_border
+ - hud_panel_notify_bg_color
+ - hud_panel_notify_bg_color_team
+ - hud_panel_notify_bg_padding
+ - hud_panel_notify_fadetime
+ - hud_panel_notify_flip
+ - hud_panel_notify_fontsize
+ - hud_panel_notify_pos
+ - hud_panel_notify_size
+ - hud_panel_notify_time
+ - hud_panel_physics
+ - hud_panel_physics_acceleration_max
+ - hud_panel_physics_acceleration_progressbar_mode
+ - hud_panel_physics_acceleration_progressbar_nonlinear
+ - hud_panel_physics_acceleration_progressbar_scale
+ - hud_panel_physics_acceleration_vertical
+ - hud_panel_physics_baralign
+ - hud_panel_physics_bg
+ - hud_panel_physics_bg_alpha
+ - hud_panel_physics_bg_border
+ - hud_panel_physics_bg_color
+ - hud_panel_physics_bg_color_team
+ - hud_panel_physics_bg_padding
+ - hud_panel_physics_flip
+ - hud_panel_physics_pos
+ - hud_panel_physics_progressbar
+ - hud_panel_physics_size
+ - hud_panel_physics_speed_max
+ - hud_panel_physics_speed_unit
+ - hud_panel_physics_speed_unit_show
+ - hud_panel_physics_speed_vertical
+ - hud_panel_physics_text
+ - hud_panel_physics_text_scale
+ - hud_panel_physics_topspeed
+ - hud_panel_physics_topspeed_time
+ - hud_panel_powerups
+ - hud_panel_powerups_baralign
+ - hud_panel_powerups_bg
+ - hud_panel_powerups_bg_alpha
+ - hud_panel_powerups_bg_border
+ - hud_panel_powerups_bg_color
+ - hud_panel_powerups_bg_color_team
+ - hud_panel_powerups_bg_padding
+ - hud_panel_powerups_flip
+ - hud_panel_powerups_iconalign
+ - hud_panel_powerups_pos
+ - hud_panel_powerups_progressbar
+ - hud_panel_powerups_progressbar_shield
+ - hud_panel_powerups_progressbar_strength
+ - hud_panel_powerups_progressbar_superweapons
+ - hud_panel_powerups_size
+ - hud_panel_powerups_text
+ - hud_panel_pressedkeys
+ - hud_panel_pressedkeys_aspect
+ - hud_panel_pressedkeys_attack
+ - hud_panel_pressedkeys_bg
+ - hud_panel_pressedkeys_bg_alpha
+ - hud_panel_pressedkeys_bg_border
+ - hud_panel_pressedkeys_bg_color
+ - hud_panel_pressedkeys_bg_color_team
+ - hud_panel_pressedkeys_bg_padding
+ - hud_panel_pressedkeys_pos
+ - hud_panel_pressedkeys_size
+ - hud_panel_racetimer
+ - hud_panel_racetimer_bg
+ - hud_panel_racetimer_bg_alpha
+ - hud_panel_racetimer_bg_border
+ - hud_panel_racetimer_bg_color
+ - hud_panel_racetimer_bg_color_team
+ - hud_panel_racetimer_bg_padding
+ - hud_panel_racetimer_pos
+ - hud_panel_racetimer_size
+ - hud_panel_radar
+ - hud_panel_radar_bg
+ - hud_panel_radar_bg_alpha
+ - hud_panel_radar_bg_border
+ - hud_panel_radar_bg_color
+ - hud_panel_radar_bg_color_team
+ - hud_panel_radar_bg_padding
+ - hud_panel_radar_foreground_alpha
+ - hud_panel_radar_maximized_rotation
+ - hud_panel_radar_maximized_scale
+ - hud_panel_radar_maximized_size
+ - hud_panel_radar_maximized_zoommode
+ - hud_panel_radar_pos
+ - hud_panel_radar_rotation
+ - hud_panel_radar_scale
+ - hud_panel_radar_size
+ - hud_panel_radar_zoommode
+ - hud_panel_score
+ - hud_panel_score_bg
+ - hud_panel_score_bg_alpha
+ - hud_panel_score_bg_border
+ - hud_panel_score_bg_color
+ - hud_panel_score_bg_color_team
+ - hud_panel_score_bg_padding
+ - hud_panel_score_pos
+ - hud_panel_score_rankings
+ - hud_panel_score_size
+ - hud_panel_timer
+ - hud_panel_timer_bg
+ - hud_panel_timer_bg_alpha
+ - hud_panel_timer_bg_border
+ - hud_panel_timer_bg_color
+ - hud_panel_timer_bg_color_team
+ - hud_panel_timer_bg_padding
+ - hud_panel_timer_increment
+ - hud_panel_timer_pos
+ - hud_panel_timer_size
+ - hud_panel_update_interval
+ - hud_panel_vote
+ - hud_panel_vote_alreadyvoted_alpha
+ - hud_panel_vote_bg
+ - hud_panel_vote_bg_alpha
+ - hud_panel_vote_bg_border
+ - hud_panel_vote_bg_color
+ - hud_panel_vote_bg_color_team
+ - hud_panel_vote_bg_padding
+ - hud_panel_vote_pos
+ - hud_panel_vote_size
+ - hud_panel_weapons
+ - hud_panel_weapons_accuracy
+ - hud_panel_weapons_ammo
+ - hud_panel_weapons_ammo_alpha
+ - hud_panel_weapons_ammo_color
+ - hud_panel_weapons_ammo_full_cells
+ - hud_panel_weapons_ammo_full_fuel
+ - hud_panel_weapons_ammo_full_nails
+ - hud_panel_weapons_ammo_full_rockets
+ - hud_panel_weapons_ammo_full_shells
+ - hud_panel_weapons_aspect
+ - hud_panel_weapons_bg
+ - hud_panel_weapons_bg_alpha
+ - hud_panel_weapons_bg_border
+ - hud_panel_weapons_bg_color
+ - hud_panel_weapons_bg_color_team
+ - hud_panel_weapons_bg_padding
+ - hud_panel_weapons_complainbubble
+ - hud_panel_weapons_complainbubble_color_donthave
+ - hud_panel_weapons_complainbubble_color_outofammo
+ - hud_panel_weapons_complainbubble_color_unavailable
+ - hud_panel_weapons_complainbubble_fadetime
+ - hud_panel_weapons_complainbubble_padding
+ - hud_panel_weapons_complainbubble_time
+ - hud_panel_weapons_label
+ - hud_panel_weapons_onlyowned
+ - hud_panel_weapons_pos
+ - hud_panel_weapons_size
+ - hud_panel_weapons_timeout
+ - hud_panel_weapons_timeout_effect
+ - hud_panel_weapons_timeout_fadebgmin
+ - hud_panel_weapons_timeout_fadefgmin
+ - hud_panel_weapons_timeout_speed_in
+ - hud_panel_weapons_timeout_speed_out
+ - hud_postprocessing
+ - hud_postprocessing_maxbluralpha
+ - hud_postprocessing_maxblurradius
+ - hud_powerup
+ - hud_progressbar_acceleration_color
+ - hud_progressbar_acceleration_neg_color
+ - hud_progressbar_alpha
+ - hud_progressbar_armor_color
+ - hud_progressbar_fuel_color
+ - hud_progressbar_health_color
+ - hud_progressbar_nexball_color
+ - hud_progressbar_shield_color
+ - hud_progressbar_speed_color
+ - hud_progressbar_strength_color
+ - hud_progressbar_superweapons_color
+ - hud_showbinds
+ - hud_showbinds_limit
+ - hud_shownames
+ - hud_shownames_alpha
+ - hud_shownames_antioverlap
+ - hud_shownames_antioverlap_distance
+ - hud_shownames_aspect
+ - hud_shownames_crosshairdistance
+ - hud_shownames_crosshairdistance_antioverlap
+ - hud_shownames_crosshairdistance_time
+ - hud_shownames_decolorize
+ - hud_shownames_enemies
+ - hud_shownames_fontsize
+ - hud_shownames_maxdistance
+ - hud_shownames_mindistance
+ - hud_shownames_offset
+ - hud_shownames_resize
+ - hud_shownames_self
+ - hud_shownames_status
+ - hud_shownames_statusbar_height
+ - hud_skin
+ - hud_width
+ - in_pitch_max
+ - in_pitch_min
+ - is_dedicated
+ - joy_active
+ - joy_axisforward
+ - joy_axiskeyevents
+ - joy_axiskeyevents_deadzone
+ - joy_axispitch
+ - joy_axisside
+ - joy_axisup
+ - joy_axisyaw
+ - joy_deadzoneforward
+ - joy_deadzonepitch
+ - joy_deadzoneside
+ - joy_deadzoneup
+ - joy_deadzoneyaw
+ - joy_detected
+ - joy_enable
+ - joy_index
+ - joy_sensitivityforward
+ - joy_sensitivitypitch
+ - joy_sensitivityside
+ - joy_sensitivityup
+ - joy_sensitivityyaw
+ - joy_x360_axisforward
+ - joy_x360_axispitch
+ - joy_x360_axisside
+ - joy_x360_axisup
+ - joy_x360_axisyaw
+ - joy_x360_deadzoneforward
+ - joy_x360_deadzonepitch
+ - joy_x360_deadzoneside
+ - joy_x360_deadzoneup
+ - joy_x360_deadzoneyaw
+ - joy_x360_sensitivityforward
+ - joy_x360_sensitivitypitch
+ - joy_x360_sensitivityside
+ - joy_x360_sensitivityup
+ - joy_x360_sensitivityyaw
+ - joyadvanced
+ - joyadvaxisr
+ - joyadvaxisx
+ - joyadvaxisy
+ - joyadvaxisz
+ - joypitchsensitivity
+ - joysidesensitivity
+ - joyyawsensitivity
+ - join
+ - lastlevel
+ - leadlimit
+ - leadlimit_and_fraglimit
+ - leadlimit_override
+ - locksession
+ - locs_enable
+ - locs_show
+ - loddebug
+ - log_dest_udp
+ - log_file
+ - lookspring
+ - lookstrafe
+ - m_accelerate
+ - m_accelerate_filter
+ - m_accelerate_maxspeed
+ - m_accelerate_minspeed
+ - m_filter
+ - m_forward
+ - m_pitch
+ - m_side
+ - m_yaw
+ - mastervolume
+ - menu_cdtrack
+ - menu_cl_gunalign
+ - menu_maxplayers
+ - menu_mouse_absolute
+ - menu_mouse_speed
+ - menu_options_colorcontrol_correctionvalue
+ - menu_picmip_bypass
+ - menu_progs
+ - menu_sandbox_attach_bone
+ - menu_sandbox_edit_alpha
+ - menu_sandbox_edit_color_glow
+ - menu_sandbox_edit_color_main
+ - menu_sandbox_edit_force
+ - menu_sandbox_edit_frame
+ - menu_sandbox_edit_material
+ - menu_sandbox_edit_physics
+ - menu_sandbox_edit_scale
+ - menu_sandbox_edit_skin
+ - menu_sandbox_edit_solidity
+ - menu_sandbox_spawn_model
+ - menu_showboxes
+ - menu_skin
+ - menu_slist_modfilter
+ - menu_slist_showempty
+ - menu_slist_showfull
+ - menu_slowmo
+ - menu_snd_attenuation_method
+ - menu_sounds
+ - menu_tooltips
+ - menu_updatecheck
+ - menu_updatecheck_getpacks
+ - menu_use_default_hostname
+ - menu_vid_scale
+ - menu_video_played
+ - menu_watermark
+ - menu_weaponarena
+ - minplayers
+ - mod_alias_force_animated
+ - mod_alias_supporttagscale
+ - mod_bsp_portalize
+ - mod_collision_bih
+ - mod_generatelightmaps_borderpixels
+ - mod_generatelightmaps_gridradius
+ - mod_generatelightmaps_gridsamples
+ - mod_generatelightmaps_lightmapradius
+ - mod_generatelightmaps_lightmapsamples
+ - mod_generatelightmaps_texturesize
+ - mod_generatelightmaps_unitspersample
+ - mod_generatelightmaps_vertexradius
+ - mod_generatelightmaps_vertexsamples
+ - mod_noshader_default_offsetmapping
+ - mod_obj_orientation
+ - mod_q1bsp_polygoncollisions
+ - mod_q3bsp_curves_collisions
+ - mod_q3bsp_curves_collisions_stride
+ - mod_q3bsp_curves_stride
+ - mod_q3bsp_debugtracebrush
+ - mod_q3bsp_lightmapmergepower
+ - mod_q3bsp_nolightmaps
+ - mod_q3bsp_optimizedtraceline
+ - mod_q3bsp_sRGBlightmaps
+ - mod_q3bsp_tracelineofsight_brushes
+ - mod_q3shader_default_offsetmapping
+ - mod_q3shader_default_offsetmapping_bias
+ - mod_q3shader_default_offsetmapping_scale
+ - mod_q3shader_default_polygonfactor
+ - mod_q3shader_default_polygonoffset
+ - mod_q3shader_force_addalpha
+ - mod_q3shader_force_terrain_alphaflag
+ - mod_recalculatenodeboxes
+ - music_playlist_current0
+ - music_playlist_current1
+ - music_playlist_current2
+ - music_playlist_current3
+ - music_playlist_current4
+ - music_playlist_current5
+ - music_playlist_current6
+ - music_playlist_current7
+ - music_playlist_current8
+ - music_playlist_current9
+ - music_playlist_index
+ - music_playlist_list0
+ - music_playlist_list1
+ - music_playlist_list2
+ - music_playlist_list3
+ - music_playlist_list4
+ - music_playlist_list5
+ - music_playlist_list6
+ - music_playlist_list7
+ - music_playlist_list8
+ - music_playlist_list9
+ - music_playlist_random0
+ - music_playlist_random1
+ - music_playlist_random2
+ - music_playlist_random3
+ - music_playlist_random4
+ - music_playlist_random5
+ - music_playlist_random6
+ - music_playlist_random7
+ - music_playlist_random8
+ - music_playlist_random9
+ - music_playlist_sampleposition0
+ - music_playlist_sampleposition1
+ - music_playlist_sampleposition2
+ - music_playlist_sampleposition3
+ - music_playlist_sampleposition4
+ - music_playlist_sampleposition5
+ - music_playlist_sampleposition6
+ - music_playlist_sampleposition7
+ - music_playlist_sampleposition8
+ - music_playlist_sampleposition9
+ - nehx00
+ - nehx01
+ - nehx02
+ - nehx03
+ - nehx04
+ - nehx05
+ - nehx06
+ - nehx07
+ - nehx08
+ - nehx09
+ - nehx10
+ - nehx11
+ - nehx12
+ - nehx13
+ - nehx14
+ - nehx15
+ - nehx16
+ - nehx17
+ - nehx18
+ - nehx19
+ - net_address
+ - net_address_ipv6
+ - net_challengefloodblockingtimeout
+ - net_connectfloodblockingtimeout
+ - net_connecttimeout
+ - net_getstatusfloodblockingtimeout
+ - net_messagetimeout
+ - net_slist_favorites
+ - net_slist_maxtries
+ - net_slist_pause
+ - net_slist_queriesperframe
+ - net_slist_queriespersecond
+ - net_slist_timeout
+ - net_tos_dscp
+ - nextmap
+ - noaim
+ - noexit
+ - nomonsters
+ - nosound
+ - notification_ANNCE_ACHIEVEMENT_AIRSHOT
+ - notification_ANNCE_ACHIEVEMENT_AMAZING
+ - notification_ANNCE_ACHIEVEMENT_AWESOME
+ - notification_ANNCE_ACHIEVEMENT_BOTLIKE
+ - notification_ANNCE_ACHIEVEMENT_ELECTROBITCH
+ - notification_ANNCE_ACHIEVEMENT_IMPRESSIVE
+ - notification_ANNCE_ACHIEVEMENT_YODA
+ - notification_ANNCE_BEGIN
+ - notification_ANNCE_KILLSTREAK_03
+ - notification_ANNCE_KILLSTREAK_05
+ - notification_ANNCE_KILLSTREAK_10
+ - notification_ANNCE_KILLSTREAK_15
+ - notification_ANNCE_KILLSTREAK_20
+ - notification_ANNCE_KILLSTREAK_25
+ - notification_ANNCE_KILLSTREAK_30
+ - notification_ANNCE_MINSTAGIB_LASTSECOND
+ - notification_ANNCE_MINSTAGIB_NARROWLY
+ - notification_ANNCE_MINSTAGIB_TERMINATED
+ - notification_ANNCE_MULTIFRAG
+ - notification_ANNCE_NUM_1
+ - notification_ANNCE_NUM_10
+ - notification_ANNCE_NUM_2
+ - notification_ANNCE_NUM_3
+ - notification_ANNCE_NUM_4
+ - notification_ANNCE_NUM_5
+ - notification_ANNCE_NUM_6
+ - notification_ANNCE_NUM_7
+ - notification_ANNCE_NUM_8
+ - notification_ANNCE_NUM_9
+ - notification_ANNCE_PREPARE
+ - notification_ANNCE_REMAINING_FRAG_1
+ - notification_ANNCE_REMAINING_FRAG_2
+ - notification_ANNCE_REMAINING_FRAG_3
+ - notification_ANNCE_REMAINING_MIN_1
+ - notification_ANNCE_REMAINING_MIN_5
+ - notification_ANNCE_TIMEOUT
+ - notification_ANNCE_VOTE_ACCEPT
+ - notification_ANNCE_VOTE_CALL
+ - notification_ANNCE_VOTE_FAIL
+ - notification_CENTER_ARENA_BEGIN
+ - notification_CENTER_ARENA_NEEDPLAYER
+ - notification_CENTER_ARENA_ROUNDSTART
+ - notification_CENTER_ASSAULT_ATTACKING
+ - notification_CENTER_ASSAULT_DEFENDING
+ - notification_CENTER_COUNTDOWN_BEGIN
+ - notification_CENTER_COUNTDOWN_GAMESTART
+ - notification_CENTER_CTF_CAPTURESHIELD_FREE
+ - notification_CENTER_CTF_CAPTURESHIELD_SHIELDED
+ - notification_CENTER_CTF_CAPTURE_BLUE
+ - notification_CENTER_CTF_CAPTURE_RED
+ - notification_CENTER_CTF_FLAG_THROW_PUNISH
+ - notification_CENTER_CTF_PASS_OTHER_BLUE
+ - notification_CENTER_CTF_PASS_OTHER_RED
+ - notification_CENTER_CTF_PASS_RECEIVED_BLUE
+ - notification_CENTER_CTF_PASS_RECEIVED_RED
+ - notification_CENTER_CTF_PASS_REQUESTED
+ - notification_CENTER_CTF_PASS_REQUESTING
+ - notification_CENTER_CTF_PASS_SENT_BLUE
+ - notification_CENTER_CTF_PASS_SENT_RED
+ - notification_CENTER_CTF_PICKUP_BLUE
+ - notification_CENTER_CTF_PICKUP_ENEMY
+ - notification_CENTER_CTF_PICKUP_ENEMY_VERBOSE
+ - notification_CENTER_CTF_PICKUP_RED
+ - notification_CENTER_CTF_PICKUP_TEAM
+ - notification_CENTER_CTF_PICKUP_TEAM_VERBOSE
+ - notification_CENTER_CTF_RETURN_BLUE
+ - notification_CENTER_CTF_RETURN_RED
+ - notification_CENTER_CTF_STALEMATE_CARRIER
+ - notification_CENTER_CTF_STALEMATE_OTHER
+ - notification_CENTER_DEATH_MURDER_FRAG
+ - notification_CENTER_DEATH_MURDER_FRAGGED
+ - notification_CENTER_DEATH_MURDER_FRAGGED_VERBOSE
+ - notification_CENTER_DEATH_MURDER_FRAG_VERBOSE
+ - notification_CENTER_DEATH_MURDER_TYPEFRAG
+ - notification_CENTER_DEATH_MURDER_TYPEFRAGGED
+ - notification_CENTER_DEATH_MURDER_TYPEFRAGGED_VERBOSE
+ - notification_CENTER_DEATH_MURDER_TYPEFRAG_VERBOSE
+ - notification_CENTER_DEATH_SELF_AUTOTEAMCHANGE
+ - notification_CENTER_DEATH_SELF_BETRAYAL
+ - notification_CENTER_DEATH_SELF_CAMP
+ - notification_CENTER_DEATH_SELF_CHEAT
+ - notification_CENTER_DEATH_SELF_CUSTOM
+ - notification_CENTER_DEATH_SELF_DROWN
+ - notification_CENTER_DEATH_SELF_FALL
+ - notification_CENTER_DEATH_SELF_FIRE
+ - notification_CENTER_DEATH_SELF_GENERIC
+ - notification_CENTER_DEATH_SELF_LAVA
+ - notification_CENTER_DEATH_SELF_NOAMMO
+ - notification_CENTER_DEATH_SELF_ROT
+ - notification_CENTER_DEATH_SELF_SHOOTING_STAR
+ - notification_CENTER_DEATH_SELF_SLIME
+ - notification_CENTER_DEATH_SELF_SUICIDE
+ - notification_CENTER_DEATH_SELF_SWAMP
+ - notification_CENTER_DEATH_SELF_TEAMCHANGE
+ - notification_CENTER_DEATH_SELF_TOUCHEXPLODE
+ - notification_CENTER_DEATH_SELF_TURRET
+ - notification_CENTER_DEATH_SELF_TURRET_EWHEEL
+ - notification_CENTER_DEATH_SELF_TURRET_WALK
+ - notification_CENTER_DEATH_SELF_VH_BUMB_DEATH
+ - notification_CENTER_DEATH_SELF_VH_CRUSH
+ - notification_CENTER_DEATH_SELF_VH_RAPT_BOMB
+ - notification_CENTER_DEATH_SELF_VH_RAPT_DEATH
+ - notification_CENTER_DEATH_SELF_VH_SPID_DEATH
+ - notification_CENTER_DEATH_SELF_VH_SPID_ROCKET
+ - notification_CENTER_DEATH_SELF_VH_WAKI_DEATH
+ - notification_CENTER_DEATH_SELF_VH_WAKI_ROCKET
+ - notification_CENTER_DEATH_SELF_VOID
+ - notification_CENTER_DEATH_TEAMKILL_FRAG
+ - notification_CENTER_DEATH_TEAMKILL_FRAGGED
+ - notification_CENTER_DISCONNECT_IDLING
+ - notification_CENTER_FREEZETAG_FREEZE
+ - notification_CENTER_FREEZETAG_FROZEN
+ - notification_CENTER_FREEZETAG_REVIVE
+ - notification_CENTER_FREEZETAG_REVIVED
+ - notification_CENTER_FREEZETAG_ROUND_WIN_BLUE
+ - notification_CENTER_FREEZETAG_ROUND_WIN_PINK
+ - notification_CENTER_FREEZETAG_ROUND_WIN_RED
+ - notification_CENTER_FREEZETAG_ROUND_WIN_YELLOW
+ - notification_CENTER_FREEZETAG_SELF
+ - notification_CENTER_FREEZETAG_SPAWN_LATE
+ - notification_CENTER_ITEM_WEAPON_DONTHAVE
+ - notification_CENTER_ITEM_WEAPON_DROP
+ - notification_CENTER_ITEM_WEAPON_GOT
+ - notification_CENTER_ITEM_WEAPON_NOAMMO
+ - notification_CENTER_ITEM_WEAPON_PRIMORSEC
+ - notification_CENTER_ITEM_WEAPON_UNAVAILABLE
+ - notification_CENTER_JOIN_NOSPAWNS
+ - notification_CENTER_JOIN_PREVENT
+ - notification_CENTER_KEEPAWAY_DROPPED
+ - notification_CENTER_KEEPAWAY_PICKUP
+ - notification_CENTER_KEEPAWAY_WARN
+ - notification_CENTER_KEYHUNT_HELP
+ - notification_CENTER_KEYHUNT_INTERFERE_BLUE
+ - notification_CENTER_KEYHUNT_INTERFERE_PINK
+ - notification_CENTER_KEYHUNT_INTERFERE_RED
+ - notification_CENTER_KEYHUNT_INTERFERE_YELLOW
+ - notification_CENTER_KEYHUNT_MEET
+ - notification_CENTER_KEYHUNT_SCAN
+ - notification_CENTER_KEYHUNT_START_BLUE
+ - notification_CENTER_KEYHUNT_START_PINK
+ - notification_CENTER_KEYHUNT_START_RED
+ - notification_CENTER_KEYHUNT_START_YELLOW
+ - notification_CENTER_KEYHUNT_WAIT
+ - notification_CENTER_LMS_CAMPCHECK
+ - notification_CENTER_MINSTA_FINDAMMO
+ - notification_CENTER_MINSTA_FINDAMMO_FIRST
+ - notification_CENTER_MOTD
+ - notification_CENTER_NIX_COUNTDOWN
+ - notification_CENTER_NIX_NEWWEAPON
+ - notification_CENTER_OVERTIME_FRAG
+ - notification_CENTER_OVERTIME_TIME
+ - notification_CENTER_POWERDOWN_INVISIBILITY
+ - notification_CENTER_POWERDOWN_SHIELD
+ - notification_CENTER_POWERDOWN_SPEED
+ - notification_CENTER_POWERDOWN_STRENGTH
+ - notification_CENTER_POWERUP_INVISIBILITY
+ - notification_CENTER_POWERUP_SHIELD
+ - notification_CENTER_POWERUP_SPEED
+ - notification_CENTER_POWERUP_STRENGTH
+ - notification_CENTER_RACE_FINISHLAP
+ - notification_CENTER_SUPERWEAPON_BROKEN
+ - notification_CENTER_SUPERWEAPON_LOST
+ - notification_CENTER_SUPERWEAPON_PICKUP
+ - notification_CENTER_TEAMCHANGE_AUTO
+ - notification_CENTER_TEAMCHANGE_BLUE
+ - notification_CENTER_TEAMCHANGE_PINK
+ - notification_CENTER_TEAMCHANGE_RED
+ - notification_CENTER_TEAMCHANGE_SPECTATE
+ - notification_CENTER_TEAMCHANGE_SUICIDE
+ - notification_CENTER_TEAMCHANGE_YELLOW
+ - notification_CENTER_TIMEOUT_BEGINNING
+ - notification_CENTER_TIMEOUT_ENDING
+ - notification_DEATH_MURDER_CHEAT
+ - notification_DEATH_MURDER_DROWN
+ - notification_DEATH_MURDER_FALL
+ - notification_DEATH_MURDER_FIRE
+ - notification_DEATH_MURDER_LAVA
+ - notification_DEATH_MURDER_SHOOTING_STAR
+ - notification_DEATH_MURDER_SLIME
+ - notification_DEATH_MURDER_SWAMP
+ - notification_DEATH_MURDER_TELEFRAG
+ - notification_DEATH_MURDER_TOUCHEXPLODE
+ - notification_DEATH_MURDER_VH_BUMB_DEATH
+ - notification_DEATH_MURDER_VH_BUMB_GUN
+ - notification_DEATH_MURDER_VH_CRUSH
+ - notification_DEATH_MURDER_VH_RAPT_BOMB
+ - notification_DEATH_MURDER_VH_RAPT_CANNON
+ - notification_DEATH_MURDER_VH_RAPT_DEATH
+ - notification_DEATH_MURDER_VH_SPID_DEATH
+ - notification_DEATH_MURDER_VH_SPID_MINIGUN
+ - notification_DEATH_MURDER_VH_SPID_ROCKET
+ - notification_DEATH_MURDER_VH_WAKI_DEATH
+ - notification_DEATH_MURDER_VH_WAKI_GUN
+ - notification_DEATH_MURDER_VH_WAKI_ROCKET
+ - notification_DEATH_MURDER_VOID
+ - notification_DEATH_SELF_AUTOTEAMCHANGE
+ - notification_DEATH_SELF_BETRAYAL
+ - notification_DEATH_SELF_CAMP
+ - notification_DEATH_SELF_CHEAT
+ - notification_DEATH_SELF_CUSTOM
+ - notification_DEATH_SELF_DROWN
+ - notification_DEATH_SELF_FALL
+ - notification_DEATH_SELF_FIRE
+ - notification_DEATH_SELF_GENERIC
+ - notification_DEATH_SELF_LAVA
+ - notification_DEATH_SELF_NOAMMO
+ - notification_DEATH_SELF_ROT
+ - notification_DEATH_SELF_SHOOTING_STAR
+ - notification_DEATH_SELF_SLIME
+ - notification_DEATH_SELF_SUICIDE
+ - notification_DEATH_SELF_SWAMP
+ - notification_DEATH_SELF_TEAMCHANGE
+ - notification_DEATH_SELF_TOUCHEXPLODE
+ - notification_DEATH_SELF_TURRET
+ - notification_DEATH_SELF_TURRET_EWHEEL
+ - notification_DEATH_SELF_TURRET_FLAC
+ - notification_DEATH_SELF_TURRET_HELLION
+ - notification_DEATH_SELF_TURRET_HK
+ - notification_DEATH_SELF_TURRET_MACHINEGUN
+ - notification_DEATH_SELF_TURRET_MLRS
+ - notification_DEATH_SELF_TURRET_PHASER
+ - notification_DEATH_SELF_TURRET_PLASMA
+ - notification_DEATH_SELF_TURRET_TESLA
+ - notification_DEATH_SELF_TURRET_WALK_GUN
+ - notification_DEATH_SELF_TURRET_WALK_MEELE
+ - notification_DEATH_SELF_TURRET_WALK_ROCKET
+ - notification_DEATH_SELF_VH_BUMB_DEATH
+ - notification_DEATH_SELF_VH_CRUSH
+ - notification_DEATH_SELF_VH_RAPT_BOMB
+ - notification_DEATH_SELF_VH_RAPT_DEATH
+ - notification_DEATH_SELF_VH_SPID_DEATH
+ - notification_DEATH_SELF_VH_SPID_ROCKET
+ - notification_DEATH_SELF_VH_WAKI_DEATH
+ - notification_DEATH_SELF_VH_WAKI_ROCKET
+ - notification_DEATH_SELF_VOID
+ - notification_INFO_CTF_CAPTURE_BLUE
+ - notification_INFO_CTF_CAPTURE_BROKEN_BLUE
+ - notification_INFO_CTF_CAPTURE_BROKEN_RED
+ - notification_INFO_CTF_CAPTURE_RED
+ - notification_INFO_CTF_CAPTURE_TIME_BLUE
+ - notification_INFO_CTF_CAPTURE_TIME_RED
+ - notification_INFO_CTF_CAPTURE_UNBROKEN_BLUE
+ - notification_INFO_CTF_CAPTURE_UNBROKEN_RED
+ - notification_INFO_CTF_FLAGRETURN_ABORTRUN_BLUE
+ - notification_INFO_CTF_FLAGRETURN_ABORTRUN_RED
+ - notification_INFO_CTF_FLAGRETURN_DAMAGED_BLUE
+ - notification_INFO_CTF_FLAGRETURN_DAMAGED_RED
+ - notification_INFO_CTF_FLAGRETURN_DROPPED_BLUE
+ - notification_INFO_CTF_FLAGRETURN_DROPPED_RED
+ - notification_INFO_CTF_FLAGRETURN_NEEDKILL_BLUE
+ - notification_INFO_CTF_FLAGRETURN_NEEDKILL_RED
+ - notification_INFO_CTF_FLAGRETURN_SPEEDRUN_BLUE
+ - notification_INFO_CTF_FLAGRETURN_SPEEDRUN_RED
+ - notification_INFO_CTF_FLAGRETURN_TIMEOUT_BLUE
+ - notification_INFO_CTF_FLAGRETURN_TIMEOUT_RED
+ - notification_INFO_CTF_LOST_BLUE
+ - notification_INFO_CTF_LOST_RED
+ - notification_INFO_CTF_PICKUP_BLUE
+ - notification_INFO_CTF_PICKUP_RED
+ - notification_INFO_CTF_RETURN_BLUE
+ - notification_INFO_CTF_RETURN_RED
+ - notification_INFO_DEATH_MURDER_CHEAT
+ - notification_INFO_DEATH_MURDER_DROWN
+ - notification_INFO_DEATH_MURDER_FALL
+ - notification_INFO_DEATH_MURDER_FIRE
+ - notification_INFO_DEATH_MURDER_LAVA
+ - notification_INFO_DEATH_MURDER_SHOOTING_STAR
+ - notification_INFO_DEATH_MURDER_SLIME
+ - notification_INFO_DEATH_MURDER_SWAMP
+ - notification_INFO_DEATH_MURDER_TELEFRAG
+ - notification_INFO_DEATH_MURDER_TOUCHEXPLODE
+ - notification_INFO_DEATH_MURDER_VH_BUMB_DEATH
+ - notification_INFO_DEATH_MURDER_VH_BUMB_GUN
+ - notification_INFO_DEATH_MURDER_VH_CRUSH
+ - notification_INFO_DEATH_MURDER_VH_RAPT_BOMB
+ - notification_INFO_DEATH_MURDER_VH_RAPT_CANNON
+ - notification_INFO_DEATH_MURDER_VH_RAPT_DEATH
+ - notification_INFO_DEATH_MURDER_VH_SPID_DEATH
+ - notification_INFO_DEATH_MURDER_VH_SPID_MINIGUN
+ - notification_INFO_DEATH_MURDER_VH_SPID_ROCKET
+ - notification_INFO_DEATH_MURDER_VH_WAKI_DEATH
+ - notification_INFO_DEATH_MURDER_VH_WAKI_GUN
+ - notification_INFO_DEATH_MURDER_VH_WAKI_ROCKET
+ - notification_INFO_DEATH_MURDER_VOID
+ - notification_INFO_DEATH_SELF_AUTOTEAMCHANGE
+ - notification_INFO_DEATH_SELF_BETRAYAL
+ - notification_INFO_DEATH_SELF_CAMP
+ - notification_INFO_DEATH_SELF_CHEAT
+ - notification_INFO_DEATH_SELF_CUSTOM
+ - notification_INFO_DEATH_SELF_DROWN
+ - notification_INFO_DEATH_SELF_FALL
+ - notification_INFO_DEATH_SELF_FIRE
+ - notification_INFO_DEATH_SELF_GENERIC
+ - notification_INFO_DEATH_SELF_LAVA
+ - notification_INFO_DEATH_SELF_NOAMMO
+ - notification_INFO_DEATH_SELF_ROT
+ - notification_INFO_DEATH_SELF_SHOOTING_STAR
+ - notification_INFO_DEATH_SELF_SLIME
+ - notification_INFO_DEATH_SELF_SUICIDE
+ - notification_INFO_DEATH_SELF_SWAMP
+ - notification_INFO_DEATH_SELF_TEAMCHANGE
+ - notification_INFO_DEATH_SELF_TOUCHEXPLODE
+ - notification_INFO_DEATH_SELF_TURRET
+ - notification_INFO_DEATH_SELF_TURRET_EWHEEL
+ - notification_INFO_DEATH_SELF_TURRET_FLAC
+ - notification_INFO_DEATH_SELF_TURRET_HELLION
+ - notification_INFO_DEATH_SELF_TURRET_HK
+ - notification_INFO_DEATH_SELF_TURRET_MACHINEGUN
+ - notification_INFO_DEATH_SELF_TURRET_MLRS
+ - notification_INFO_DEATH_SELF_TURRET_PHASER
+ - notification_INFO_DEATH_SELF_TURRET_PLASMA
+ - notification_INFO_DEATH_SELF_TURRET_TESLA
+ - notification_INFO_DEATH_SELF_TURRET_WALK_GUN
+ - notification_INFO_DEATH_SELF_TURRET_WALK_MEELE
+ - notification_INFO_DEATH_SELF_TURRET_WALK_ROCKET
+ - notification_INFO_DEATH_SELF_VH_BUMB_DEATH
+ - notification_INFO_DEATH_SELF_VH_CRUSH
+ - notification_INFO_DEATH_SELF_VH_RAPT_BOMB
+ - notification_INFO_DEATH_SELF_VH_RAPT_DEATH
+ - notification_INFO_DEATH_SELF_VH_SPID_DEATH
+ - notification_INFO_DEATH_SELF_VH_SPID_ROCKET
+ - notification_INFO_DEATH_SELF_VH_WAKI_DEATH
+ - notification_INFO_DEATH_SELF_VH_WAKI_ROCKET
+ - notification_INFO_DEATH_SELF_VOID
+ - notification_INFO_DEATH_TEAMKILL_BLUE
+ - notification_INFO_DEATH_TEAMKILL_PINK
+ - notification_INFO_DEATH_TEAMKILL_RED
+ - notification_INFO_DEATH_TEAMKILL_YELLOW
+ - notification_INFO_FREEZETAG_FREEZE
+ - notification_INFO_FREEZETAG_REVIVE
+ - notification_INFO_FREEZETAG_ROUND_WIN_BLUE
+ - notification_INFO_FREEZETAG_ROUND_WIN_PINK
+ - notification_INFO_FREEZETAG_ROUND_WIN_RED
+ - notification_INFO_FREEZETAG_ROUND_WIN_YELLOW
+ - notification_INFO_FREEZETAG_SELF
+ - notification_INFO_GODMODE_OFF
+ - notification_INFO_ITEM_WEAPON_DONTHAVE
+ - notification_INFO_ITEM_WEAPON_DROP
+ - notification_INFO_ITEM_WEAPON_GOT
+ - notification_INFO_ITEM_WEAPON_NOAMMO
+ - notification_INFO_ITEM_WEAPON_PRIMORSEC
+ - notification_INFO_ITEM_WEAPON_UNAVAILABLE
+ - notification_INFO_JOIN_CONNECT
+ - notification_INFO_JOIN_CONNECT_TEAM_BLUE
+ - notification_INFO_JOIN_CONNECT_TEAM_PINK
+ - notification_INFO_JOIN_CONNECT_TEAM_RED
+ - notification_INFO_JOIN_CONNECT_TEAM_YELLOW
+ - notification_INFO_JOIN_PLAY
+ - notification_INFO_KEEPAWAY_DROPPED
+ - notification_INFO_KEEPAWAY_PICKUP
+ - notification_INFO_KEYHUNT_CAPTURE_BLUE
+ - notification_INFO_KEYHUNT_CAPTURE_PINK
+ - notification_INFO_KEYHUNT_CAPTURE_RED
+ - notification_INFO_KEYHUNT_CAPTURE_YELLOW
+ - notification_INFO_KEYHUNT_DROP_BLUE
+ - notification_INFO_KEYHUNT_DROP_PINK
+ - notification_INFO_KEYHUNT_DROP_RED
+ - notification_INFO_KEYHUNT_DROP_YELLOW
+ - notification_INFO_KEYHUNT_LOST_BLUE
+ - notification_INFO_KEYHUNT_LOST_PINK
+ - notification_INFO_KEYHUNT_LOST_RED
+ - notification_INFO_KEYHUNT_LOST_YELLOW
+ - notification_INFO_KEYHUNT_PICKUP_BLUE
+ - notification_INFO_KEYHUNT_PICKUP_PINK
+ - notification_INFO_KEYHUNT_PICKUP_RED
+ - notification_INFO_KEYHUNT_PICKUP_YELLOW
+ - notification_INFO_LMS_FORFEIT
+ - notification_INFO_LMS_NOLIVES
+ - notification_INFO_POWERUP_INVISIBILITY
+ - notification_INFO_POWERUP_SHIELD
+ - notification_INFO_POWERUP_SPEED
+ - notification_INFO_POWERUP_STRENGTH
+ - notification_INFO_QUIT_DISCONNECT
+ - notification_INFO_QUIT_KICK_IDLING
+ - notification_INFO_QUIT_KICK_SPECTATING
+ - notification_INFO_QUIT_SPECTATE
+ - notification_INFO_RACE_ABANDONED
+ - notification_INFO_RACE_FAIL_RANKED
+ - notification_INFO_RACE_FAIL_UNRANKED
+ - notification_INFO_RACE_FINISHED
+ - notification_INFO_RACE_NEW_BROKEN
+ - notification_INFO_RACE_NEW_IMPROVED
+ - notification_INFO_RACE_NEW_MISSING_UID
+ - notification_INFO_RACE_NEW_SET
+ - notification_INFO_SCORES_BLUE
+ - notification_INFO_SCORES_PINK
+ - notification_INFO_SCORES_RED
+ - notification_INFO_SCORES_YELLOW
+ - notification_INFO_SPECTATE_WARNING
+ - notification_INFO_SUPERWEAPON_PICKUP
+ - notification_INFO_VERSION_BETA
+ - notification_INFO_VERSION_OLD
+ - notification_INFO_VERSION_OUTDATED
+ - notification_INFO_WATERMARK
+ - notification_INFO_WEAPON_ACCORDEON_MURDER
+ - notification_INFO_WEAPON_ACCORDEON_SUICIDE
+ - notification_INFO_WEAPON_CRYLINK_MURDER
+ - notification_INFO_WEAPON_CRYLINK_SUICIDE
+ - notification_INFO_WEAPON_ELECTRO_MURDER_BOLT
+ - notification_INFO_WEAPON_ELECTRO_MURDER_COMBO
+ - notification_INFO_WEAPON_ELECTRO_MURDER_ORBS
+ - notification_INFO_WEAPON_ELECTRO_SUICIDE_BOLT
+ - notification_INFO_WEAPON_ELECTRO_SUICIDE_ORBS
+ - notification_INFO_WEAPON_FIREBALL_MURDER_BLAST
+ - notification_INFO_WEAPON_FIREBALL_MURDER_FIREMINE
+ - notification_INFO_WEAPON_FIREBALL_SUICIDE_BLAST
+ - notification_INFO_WEAPON_FIREBALL_SUICIDE_FIREMINE
+ - notification_INFO_WEAPON_HAGAR_MURDER_BURST
+ - notification_INFO_WEAPON_HAGAR_MURDER_SPRAY
+ - notification_INFO_WEAPON_HAGAR_SUICIDE
+ - notification_INFO_WEAPON_HLAC_MURDER
+ - notification_INFO_WEAPON_HLAC_SUICIDE
+ - notification_INFO_WEAPON_HOOK_MURDER
+ - notification_INFO_WEAPON_KLEINBOTTLE_MURDER
+ - notification_INFO_WEAPON_KLEINBOTTLE_SUICIDE
+ - notification_INFO_WEAPON_LASER_MURDER
+ - notification_INFO_WEAPON_LASER_SUICIDE
+ - notification_INFO_WEAPON_MINELAYER_MURDER
+ - notification_INFO_WEAPON_MINELAYER_SUICIDE
+ - notification_INFO_WEAPON_MINSTANEX_MURDER
+ - notification_INFO_WEAPON_MORTAR_MURDER_BOUNCE
+ - notification_INFO_WEAPON_MORTAR_MURDER_EXPLODE
+ - notification_INFO_WEAPON_MORTAR_SUICIDE_BOUNCE
+ - notification_INFO_WEAPON_MORTAR_SUICIDE_EXPLODE
+ - notification_INFO_WEAPON_NEX_MURDER
+ - notification_INFO_WEAPON_RIFLE_MURDER
+ - notification_INFO_WEAPON_RIFLE_MURDER_HAIL
+ - notification_INFO_WEAPON_RIFLE_MURDER_HAIL_PIERCING
+ - notification_INFO_WEAPON_RIFLE_MURDER_PIERCING
+ - notification_INFO_WEAPON_ROCKETLAUNCHER_MURDER_DIRECT
+ - notification_INFO_WEAPON_ROCKETLAUNCHER_MURDER_SPLASH
+ - notification_INFO_WEAPON_ROCKETLAUNCHER_SUICIDE
+ - notification_INFO_WEAPON_SEEKER_MURDER_SPRAY
+ - notification_INFO_WEAPON_SEEKER_MURDER_TAG
+ - notification_INFO_WEAPON_SEEKER_SUICIDE
+ - notification_INFO_WEAPON_SHOTGUN_MURDER
+ - notification_INFO_WEAPON_SHOTGUN_MURDER_SLAP
+ - notification_INFO_WEAPON_THINKING_WITH_PORTALS
+ - notification_INFO_WEAPON_TUBA_MURDER
+ - notification_INFO_WEAPON_TUBA_SUICIDE
+ - notification_INFO_WEAPON_UZI_MURDER_SNIPE
+ - notification_INFO_WEAPON_UZI_MURDER_SPRAY
+ - notification_ITEM_WEAPON_DONTHAVE
+ - notification_ITEM_WEAPON_DROP
+ - notification_ITEM_WEAPON_GOT
+ - notification_ITEM_WEAPON_NOAMMO
+ - notification_ITEM_WEAPON_PRIMORSEC
+ - notification_ITEM_WEAPON_UNAVAILABLE
+ - notification_MULTI_ARENA_BEGIN
+ - notification_MULTI_COUNTDOWN_BEGIN
+ - notification_MULTI_MINSTA_FINDAMMO
+ - notification_WEAPON_ACCORDEON_MURDER
+ - notification_WEAPON_ACCORDEON_SUICIDE
+ - notification_WEAPON_CRYLINK_MURDER
+ - notification_WEAPON_CRYLINK_SUICIDE
+ - notification_WEAPON_ELECTRO_MURDER_BOLT
+ - notification_WEAPON_ELECTRO_MURDER_COMBO
+ - notification_WEAPON_ELECTRO_MURDER_ORBS
+ - notification_WEAPON_ELECTRO_SUICIDE_BOLT
+ - notification_WEAPON_ELECTRO_SUICIDE_ORBS
+ - notification_WEAPON_FIREBALL_MURDER_BLAST
+ - notification_WEAPON_FIREBALL_MURDER_FIREMINE
+ - notification_WEAPON_FIREBALL_SUICIDE_BLAST
+ - notification_WEAPON_FIREBALL_SUICIDE_FIREMINE
+ - notification_WEAPON_HAGAR_MURDER_BURST
+ - notification_WEAPON_HAGAR_MURDER_SPRAY
+ - notification_WEAPON_HAGAR_SUICIDE
+ - notification_WEAPON_HLAC_MURDER
+ - notification_WEAPON_HLAC_SUICIDE
+ - notification_WEAPON_HOOK_MURDER
+ - notification_WEAPON_KLEINBOTTLE_MURDER
+ - notification_WEAPON_KLEINBOTTLE_SUICIDE
+ - notification_WEAPON_LASER_MURDER
+ - notification_WEAPON_LASER_SUICIDE
+ - notification_WEAPON_MINELAYER_MURDER
+ - notification_WEAPON_MINELAYER_SUICIDE
+ - notification_WEAPON_MINSTANEX_MURDER
+ - notification_WEAPON_MORTAR_MURDER_BOUNCE
+ - notification_WEAPON_MORTAR_MURDER_EXPLODE
+ - notification_WEAPON_MORTAR_SUICIDE_BOUNCE
+ - notification_WEAPON_MORTAR_SUICIDE_EXPLODE
+ - notification_WEAPON_NEX_MURDER
+ - notification_WEAPON_RIFLE_MURDER
+ - notification_WEAPON_RIFLE_MURDER_HAIL
+ - notification_WEAPON_RIFLE_MURDER_HAIL_PIERCING
+ - notification_WEAPON_RIFLE_MURDER_PIERCING
+ - notification_WEAPON_ROCKETLAUNCHER_MURDER_DIRECT
+ - notification_WEAPON_ROCKETLAUNCHER_MURDER_SPLASH
+ - notification_WEAPON_ROCKETLAUNCHER_SUICIDE
+ - notification_WEAPON_SEEKER_MURDER_SPRAY
+ - notification_WEAPON_SEEKER_MURDER_TAG
+ - notification_WEAPON_SEEKER_SUICIDE
+ - notification_WEAPON_SHOTGUN_MURDER
+ - notification_WEAPON_SHOTGUN_MURDER_SLAP
+ - notification_WEAPON_THINKING_WITH_PORTALS
+ - notification_WEAPON_TUBA_MURDER
+ - notification_WEAPON_TUBA_SUICIDE
+ - notification_WEAPON_UZI_MURDER_SNIPE
+ - notification_WEAPON_UZI_MURDER_SPRAY
+ - notification_allow_chatboxprint
+ - notification_ctf_capture_verbose
+ - notification_ctf_pickup_enemy_verbose
+ - notification_ctf_pickup_team_verbose
+ - notification_debug
+ - notification_errors_are_fatal
+ - notification_frag_verbose
+ - notification_item_centerprinttime
+ - notification_lifetime_mapload
+ - notification_lifetime_runtime
+ - notification_server_allows_frag_verbose
+ - notification_server_allows_location
+ - notification_show_location
+ - notification_show_location_string
+ - notification_show_sprees
+ - notification_show_sprees_center
+ - notification_show_sprees_center_specialonly
+ - notification_show_sprees_info
+ - notification_show_sprees_info_newline
+ - notification_show_sprees_info_specialonly
+ - pausable
+ - physics_ode
+ - physics_ode_allowconvex
+ - physics_ode_autodisable
+ - physics_ode_autodisable_steps
+ - physics_ode_autodisable_threshold_angular
+ - physics_ode_autodisable_threshold_linear
+ - physics_ode_autodisable_threshold_samples
+ - physics_ode_autodisable_time
+ - physics_ode_constantstep
+ - physics_ode_contact_cfm
+ - physics_ode_contact_erp
+ - physics_ode_contact_maxpoints
+ - physics_ode_contact_mu
+ - physics_ode_contactsurfacelayer
+ - physics_ode_iterationsperframe
+ - physics_ode_movelimit
+ - physics_ode_printstats
+ - physics_ode_quadtree_depth
+ - physics_ode_spinlimit
+ - physics_ode_trick_fixnan
+ - physics_ode_world_cfm
+ - physics_ode_world_damping
+ - physics_ode_world_damping_angular
+ - physics_ode_world_damping_angular_threshold
+ - physics_ode_world_damping_linear
+ - physics_ode_world_damping_linear_threshold
+ - physics_ode_world_erp
+ - physics_ode_world_gravitymod
+ - physics_ode_worldstep_iterations
+ - port
+ - pr_checkextension
+ - prvm_backtraceforwarnings
+ - prvm_breakpointdump
+ - prvm_errordump
+ - prvm_language
+ - prvm_leaktest
+ - prvm_leaktest_ignore_classnames
+ - prvm_reuseedicts_neverinsameframe
+ - prvm_reuseedicts_startuptime
+ - prvm_statementprofiling
+ - prvm_timeprofiling
+ - prvm_traceqc
+ - qport
+ - quit_and_redirect
+ - quit_and_redirect_timer
+ - quit_when_empty
+ - r_ambient
+ - r_batch_debugdynamicvertexpath
+ - r_batch_dynamicbuffer
+ - r_batch_multidraw
+ - r_batch_multidraw_mintriangles
+ - r_bloom
+ - r_bloom_blur
+ - r_bloom_brighten
+ - r_bloom_colorexponent
+ - r_bloom_colorscale
+ - r_bloom_colorsubtract
+ - r_bloom_resolution
+ - r_bloom_scenebrightness
+ - r_bufferdatasize_index16
+ - r_bufferdatasize_index32
+ - r_bufferdatasize_uniform
+ - r_bufferdatasize_vertex
+ - r_celoutlines
+ - r_celshading
+ - r_colormap_palette
+ - r_coronas
+ - r_coronas_occlusionquery
+ - r_coronas_occlusionsizescale
+ - r_cullentities_trace
+ - r_cullentities_trace_delay
+ - r_cullentities_trace_enlarge
+ - r_cullentities_trace_samples
+ - r_cullentities_trace_tempentitysamples
+ - r_damageblur
+ - r_deformvertexes
+ - r_depthfirst
+ - r_draw2d
+ - r_drawdecals
+ - r_drawdecals_drawdistance
+ - r_draweffects
+ - r_drawentities
+ - r_drawexplosions
+ - r_drawexteriormodel
+ - r_drawfog
+ - r_drawparticles
+ - r_drawparticles_drawdistance
+ - r_drawparticles_nearclip_max
+ - r_drawparticles_nearclip_min
+ - r_drawportals
+ - r_drawviewmodel
+ - r_drawworld
+ - r_dynamic
+ - r_editlights
+ - r_editlights_current_ambient
+ - r_editlights_current_angles
+ - r_editlights_current_color
+ - r_editlights_current_corona
+ - r_editlights_current_coronasize
+ - r_editlights_current_cubemap
+ - r_editlights_current_diffuse
+ - r_editlights_current_normalmode
+ - r_editlights_current_origin
+ - r_editlights_current_radius
+ - r_editlights_current_realtimemode
+ - r_editlights_current_shadows
+ - r_editlights_current_specular
+ - r_editlights_current_style
+ - r_editlights_cursordistance
+ - r_editlights_cursorgrid
+ - r_editlights_cursorpushback
+ - r_editlights_cursorpushoff
+ - r_editlights_drawproperties
+ - r_editlights_quakelightsizescale
+ - r_enableshadowvolumes
+ - r_equalize_entities_by
+ - r_equalize_entities_fullbright
+ - r_equalize_entities_minambient
+ - r_equalize_entities_to
+ - r_explosionclip
+ - r_fakelight
+ - r_fakelight_intensity
+ - r_farclip_base
+ - r_farclip_world
+ - r_fixtrans_auto
+ - r_fog_clear
+ - r_fog_exp2
+ - r_font_antialias
+ - r_font_compress
+ - r_font_disable_freetype
+ - r_font_diskcache
+ - r_font_hinting
+ - r_font_kerning
+ - r_font_nonpoweroftwo
+ - r_font_postprocess_blur
+ - r_font_postprocess_outline
+ - r_font_postprocess_shadow_x
+ - r_font_postprocess_shadow_y
+ - r_font_postprocess_shadow_z
+ - r_font_size_snapping
+ - r_font_use_alpha_textures
+ - r_framedatasize
+ - r_fullbright
+ - r_fullbrights
+ - r_glsl
+ - r_glsl_deluxemapping
+ - r_glsl_offsetmapping
+ - r_glsl_offsetmapping_lod
+ - r_glsl_offsetmapping_lod_distance
+ - r_glsl_offsetmapping_reliefmapping
+ - r_glsl_offsetmapping_reliefmapping_refinesteps
+ - r_glsl_offsetmapping_reliefmapping_steps
+ - r_glsl_offsetmapping_scale
+ - r_glsl_offsetmapping_steps
+ - r_glsl_postprocess
+ - r_glsl_postprocess_uservec1
+ - r_glsl_postprocess_uservec1_enable
+ - r_glsl_postprocess_uservec2
+ - r_glsl_postprocess_uservec2_enable
+ - r_glsl_postprocess_uservec3
+ - r_glsl_postprocess_uservec3_enable
+ - r_glsl_postprocess_uservec4
+ - r_glsl_postprocess_uservec4_enable
+ - r_glsl_saturation
+ - r_glsl_saturation_redcompensate
+ - r_glsl_skeletal
+ - r_glsl_vertextextureblend_usebothalphas
+ - r_hdr_glowintensity
+ - r_hdr_irisadaptation
+ - r_hdr_irisadaptation_fade_down
+ - r_hdr_irisadaptation_fade_up
+ - r_hdr_irisadaptation_maxvalue
+ - r_hdr_irisadaptation_minvalue
+ - r_hdr_irisadaptation_multiplier
+ - r_hdr_irisadaptation_radius
+ - r_hdr_irisadaptation_value
+ - r_hdr_scenebrightness
+ - r_labelsprites_roundtopixels
+ - r_labelsprites_scale
+ - r_lerpimages
+ - r_lerplightstyles
+ - r_lerpmodels
+ - r_lerpsprites
+ - r_letterbox
+ - r_lightningbeam_color_blue
+ - r_lightningbeam_color_green
+ - r_lightningbeam_color_red
+ - r_lightningbeam_qmbtexture
+ - r_lightningbeam_repeatdistance
+ - r_lightningbeam_scroll
+ - r_lightningbeam_thickness
+ - r_lockpvs
+ - r_lockvisibility
+ - r_mipnormalmaps
+ - r_mipskins
+ - r_mipsprites
+ - r_motionblur
+ - r_motionblur_averaging
+ - r_motionblur_maxblur
+ - r_motionblur_minblur
+ - r_motionblur_mousefactor
+ - r_motionblur_mousefactor_maxspeed
+ - r_motionblur_mousefactor_minspeed
+ - r_motionblur_randomize
+ - r_motionblur_velocityfactor
+ - r_motionblur_velocityfactor_maxspeed
+ - r_motionblur_velocityfactor_minspeed
+ - r_nearclip
+ - r_nearest_2d
+ - r_nearest_conchars
+ - r_nosurftextures
+ - r_novis
+ - r_overheadsprites_perspective
+ - r_overheadsprites_pushback
+ - r_overheadsprites_scalex
+ - r_overheadsprites_scaley
+ - r_picmipsprites
+ - r_picmipworld
+ - r_polygonoffset_decals_factor
+ - r_polygonoffset_decals_offset
+ - r_polygonoffset_submodel_factor
+ - r_polygonoffset_submodel_offset
+ - r_q1bsp_skymasking
+ - r_q3bsp_renderskydepth
+ - r_render
+ - r_renderview
+ - r_shadow_bouncegrid
+ - r_shadow_bouncegrid_bounceanglediffuse
+ - r_shadow_bouncegrid_directionalshading
+ - r_shadow_bouncegrid_dlightparticlemultiplier
+ - r_shadow_bouncegrid_hitmodels
+ - r_shadow_bouncegrid_includedirectlighting
+ - r_shadow_bouncegrid_intensity
+ - r_shadow_bouncegrid_lightradiusscale
+ - r_shadow_bouncegrid_maxbounce
+ - r_shadow_bouncegrid_particlebounceintensity
+ - r_shadow_bouncegrid_particleintensity
+ - r_shadow_bouncegrid_photons
+ - r_shadow_bouncegrid_spacing
+ - r_shadow_bouncegrid_stablerandom
+ - r_shadow_bouncegrid_static
+ - r_shadow_bouncegrid_static_directionalshading
+ - r_shadow_bouncegrid_static_lightradiusscale
+ - r_shadow_bouncegrid_static_maxbounce
+ - r_shadow_bouncegrid_static_photons
+ - r_shadow_bouncegrid_updateinterval
+ - r_shadow_bouncegrid_x
+ - r_shadow_bouncegrid_y
+ - r_shadow_bouncegrid_z
+ - r_shadow_bumpscale_basetexture
+ - r_shadow_bumpscale_bumpmap
+ - r_shadow_debuglight
+ - r_shadow_deferred
+ - r_shadow_frontsidecasting
+ - r_shadow_gloss
+ - r_shadow_gloss2exponent
+ - r_shadow_gloss2intensity
+ - r_shadow_glossexact
+ - r_shadow_glossexponent
+ - r_shadow_glossintensity
+ - r_shadow_lightattenuationdividebias
+ - r_shadow_lightattenuationlinearscale
+ - r_shadow_lightintensityscale
+ - r_shadow_lightradiusscale
+ - r_shadow_polygonfactor
+ - r_shadow_polygonoffset
+ - r_shadow_projectdistance
+ - r_shadow_realtime_dlight
+ - r_shadow_realtime_dlight_portalculling
+ - r_shadow_realtime_dlight_shadows
+ - r_shadow_realtime_dlight_svbspculling
+ - r_shadow_realtime_world
+ - r_shadow_realtime_world_compile
+ - r_shadow_realtime_world_compileportalculling
+ - r_shadow_realtime_world_compileshadow
+ - r_shadow_realtime_world_compilesvbsp
+ - r_shadow_realtime_world_lightmaps
+ - r_shadow_realtime_world_shadows
+ - r_shadow_scissor
+ - r_shadow_shadowmapping
+ - r_shadow_shadowmapping_bias
+ - r_shadow_shadowmapping_bordersize
+ - r_shadow_shadowmapping_depthbits
+ - r_shadow_shadowmapping_filterquality
+ - r_shadow_shadowmapping_maxsize
+ - r_shadow_shadowmapping_minsize
+ - r_shadow_shadowmapping_nearclip
+ - r_shadow_shadowmapping_polygonfactor
+ - r_shadow_shadowmapping_polygonoffset
+ - r_shadow_shadowmapping_precision
+ - r_shadow_shadowmapping_useshadowsampler
+ - r_shadow_shadowmapping_vsdct
+ - r_shadow_sortsurfaces
+ - r_shadow_texture3d
+ - r_shadow_usebihculling
+ - r_shadow_usenormalmap
+ - r_shadows
+ - r_shadows_castfrombmodels
+ - r_shadows_darken
+ - r_shadows_drawafterrtlighting
+ - r_shadows_focus
+ - r_shadows_shadowmapbias
+ - r_shadows_shadowmapscale
+ - r_shadows_throwdirection
+ - r_shadows_throwdistance
+ - r_showbboxes
+ - r_showcollisionbrushes
+ - r_showcollisionbrushes_polygonfactor
+ - r_showcollisionbrushes_polygonoffset
+ - r_showdisabledepthtest
+ - r_showlighting
+ - r_shownormals
+ - r_showoverdraw
+ - r_showshadowvolumes
+ - r_showsurfaces
+ - r_showtris
+ - r_skeletal_debugbone
+ - r_skeletal_debugbonecomponent
+ - r_skeletal_debugbonevalue
+ - r_skeletal_debugtranslatex
+ - r_skeletal_debugtranslatey
+ - r_skeletal_debugtranslatez
+ - r_skeletal_use_sse
+ - r_sky
+ - r_skyscroll1
+ - r_skyscroll2
+ - r_smoothnormals_areaweighting
+ - r_sortentities
+ - r_speeds
+ - r_speeds_graph
+ - r_speeds_graph_filter_b
+ - r_speeds_graph_filter_c
+ - r_speeds_graph_filter_g
+ - r_speeds_graph_filter_m
+ - r_speeds_graph_filter_o
+ - r_speeds_graph_filter_r
+ - r_speeds_graph_filter_w
+ - r_speeds_graph_filter_y
+ - r_speeds_graph_height
+ - r_speeds_graph_length
+ - r_speeds_graph_seconds
+ - r_speeds_graph_width
+ - r_speeds_graph_x
+ - r_speeds_graph_y
+ - r_stereo_angle
+ - r_stereo_horizontal
+ - r_stereo_redblue
+ - r_stereo_redcyan
+ - r_stereo_redgreen
+ - r_stereo_separation
+ - r_stereo_sidebyside
+ - r_stereo_vertical
+ - r_subdivisions_collision_maxtess
+ - r_subdivisions_collision_maxvertices
+ - r_subdivisions_collision_mintess
+ - r_subdivisions_collision_tolerance
+ - r_subdivisions_maxtess
+ - r_subdivisions_maxvertices
+ - r_subdivisions_mintess
+ - r_subdivisions_tolerance
+ - r_test
+ - r_textbrightness
+ - r_textcontrast
+ - r_textshadow
+ - r_texture_dds_load
+ - r_texture_dds_load_alphamode
+ - r_texture_dds_load_logfailure
+ - r_texture_dds_save
+ - r_texture_dds_swdecode
+ - r_texture_jpeg_fastpicmip
+ - r_textureunits
+ - r_track_sprites
+ - r_track_sprites_flags
+ - r_track_sprites_scaleh
+ - r_track_sprites_scalew
+ - r_transparent
+ - r_transparent_alphatocoverage
+ - r_transparent_sortarraysize
+ - r_transparent_sortmaxdist
+ - r_transparent_sortmindist
+ - r_transparent_sortsurfacesbynearest
+ - r_transparent_useplanardistance
+ - r_transparentdepthmasking
+ - r_trippy
+ - r_usedepthtextures
+ - r_useinfinitefarclip
+ - r_useportalculling
+ - r_usesurfaceculling
+ - r_viewfbo
+ - r_viewscale
+ - r_viewscale_fpsscaling
+ - r_viewscale_fpsscaling_min
+ - r_viewscale_fpsscaling_multiply
+ - r_viewscale_fpsscaling_stepmax
+ - r_viewscale_fpsscaling_stepsize
+ - r_viewscale_fpsscaling_target
+ - r_water
+ - r_water_clippingplanebias
+ - r_water_fbo
+ - r_water_hideplayer
+ - r_water_lowquality
+ - r_water_reflectdistort
+ - r_water_refractdistort
+ - r_water_resolutionmultiplier
+ - r_water_scissormode
+ - r_wateralpha
+ - r_waterscroll
+ - r_waterwarp
+ - rcon_address
+ - rcon_password
+ - rcon_restricted_commands
+ - rcon_restricted_password
+ - rcon_secure
+ - rcon_secure_challengetimeout
+ - rcon_secure_maxdiff
+ - registered
+ - rescan_pending
+ - samelevel
+ - saved1
+ - saved2
+ - saved3
+ - saved4
+ - savedgamecfg
+ - sbar_alpha_bg
+ - sbar_alpha_fg
+ - sbar_flagstatus_pos
+ - sbar_flagstatus_right
+ - sbar_gametime
+ - sbar_hudselector
+ - sbar_info_pos
+ - sbar_miniscoreboard_size
+ - sbar_scorerank
+ - scoreboard_accuracy
+ - scoreboard_accuracy_border_thickness
+ - scoreboard_accuracy_doublerows
+ - scoreboard_accuracy_nocolors
+ - scoreboard_alpha_bg
+ - scoreboard_alpha_fg
+ - scoreboard_alpha_name
+ - scoreboard_alpha_name_self
+ - scoreboard_bg_scale
+ - scoreboard_border_thickness
+ - scoreboard_color_bg_b
+ - scoreboard_color_bg_g
+ - scoreboard_color_bg_r
+ - scoreboard_color_bg_team
+ - scoreboard_columns
+ - scoreboard_fadeinspeed
+ - scoreboard_fadeoutspeed
+ - scoreboard_highlight
+ - scoreboard_highlight_alpha
+ - scoreboard_highlight_alpha_self
+ - scoreboard_offset_left
+ - scoreboard_offset_right
+ - scoreboard_offset_vertical
+ - scoreboard_respawntime_decimals
+ - scr_centertime
+ - scr_conalpha
+ - scr_conalpha2factor
+ - scr_conalpha3factor
+ - scr_conalphafactor
+ - scr_conbrightness
+ - scr_conforcewhiledisconnected
+ - scr_conscroll2_x
+ - scr_conscroll2_y
+ - scr_conscroll3_x
+ - scr_conscroll3_y
+ - scr_conscroll_x
+ - scr_conscroll_y
+ - scr_infobar_height
+ - scr_loadingscreen_background
+ - scr_loadingscreen_barcolor
+ - scr_loadingscreen_barheight
+ - scr_loadingscreen_count
+ - scr_loadingscreen_firstforstartup
+ - scr_loadingscreen_maxfps
+ - scr_loadingscreen_picture
+ - scr_loadingscreen_scale
+ - scr_loadingscreen_scale_base
+ - scr_loadingscreen_scale_limit
+ - scr_menuforcewhiledisconnected
+ - scr_printspeed
+ - scr_refresh
+ - scr_screenshot_alpha
+ - scr_screenshot_gammaboost
+ - scr_screenshot_hwgamma
+ - scr_screenshot_jpeg
+ - scr_screenshot_jpeg_quality
+ - scr_screenshot_name
+ - scr_screenshot_name_in_mapdir
+ - scr_screenshot_png
+ - scr_screenshot_timestamp
+ - scr_stipple
+ - scratch1
+ - scratch2
+ - scratch3
+ - scratch4
+ - sensitivity
+ - serverconfig
+ - sessionid
+ - showblur
+ - showbrand
+ - showdate
+ - showdate_format
+ - showfps
+ - shownetgraph
+ - showpause
+ - showram
+ - showsound
+ - showspeed
+ - showtex
+ - showtime
+ - showtime_format
+ - showtopspeed
+ - showturtle
+ - skill
+ - skill_auto
+ - skin
+ - slowmo
+ - snd_attenuation_decibel
+ - snd_attenuation_exponent
+ - snd_channel0volume
+ - snd_channel1volume
+ - snd_channel2volume
+ - snd_channel3volume
+ - snd_channel4volume
+ - snd_channel5volume
+ - snd_channel6volume
+ - snd_channel7volume
+ - snd_channel8volume
+ - snd_channel9volume
+ - snd_channellayout
+ - snd_channels
+ - snd_csqcchannel0volume
+ - snd_csqcchannel1volume
+ - snd_csqcchannel2volume
+ - snd_csqcchannel3volume
+ - snd_csqcchannel4volume
+ - snd_csqcchannel5volume
+ - snd_csqcchannel6volume
+ - snd_csqcchannel7volume
+ - snd_entchannel0volume
+ - snd_entchannel1volume
+ - snd_entchannel2volume
+ - snd_entchannel3volume
+ - snd_entchannel4volume
+ - snd_entchannel5volume
+ - snd_entchannel6volume
+ - snd_entchannel7volume
+ - snd_identicalsoundrandomization_tics
+ - snd_identicalsoundrandomization_time
+ - snd_initialized
+ - snd_maxchannelvolume
+ - snd_mutewhenidle
+ - snd_noextraupdate
+ - snd_playerchannel0volume
+ - snd_playerchannel1volume
+ - snd_playerchannel2volume
+ - snd_playerchannel3volume
+ - snd_playerchannel4volume
+ - snd_playerchannel5volume
+ - snd_playerchannel6volume
+ - snd_playerchannel7volume
+ - snd_precache
+ - snd_show
+ - snd_softclip
+ - snd_soundradius
+ - snd_spatialization_control
+ - snd_spatialization_max
+ - snd_spatialization_max_radius
+ - snd_spatialization_min
+ - snd_spatialization_min_radius
+ - snd_spatialization_occlusion
+ - snd_spatialization_power
+ - snd_spatialization_prologic
+ - snd_spatialization_prologic_frontangle
+ - snd_speed
+ - snd_startloopingsounds
+ - snd_startnonloopingsounds
+ - snd_staticvolume
+ - snd_streaming
+ - snd_streaming_length
+ - snd_swapstereo
+ - snd_width
+ - snd_worldchannel0volume
+ - snd_worldchannel1volume
+ - snd_worldchannel2volume
+ - snd_worldchannel3volume
+ - snd_worldchannel4volume
+ - snd_worldchannel5volume
+ - snd_worldchannel6volume
+ - snd_worldchannel7volume
+ - spawn_debug
+ - spawn_debugview
+ - speedmeter
+ - sv_accelerate
+ - sv_accuracy_data_send
+ - sv_accuracy_data_share
+ - sv_adminnick
+ - sv_aim
+ - sv_airaccel_qw
+ - sv_airaccel_qw_stretchfactor
+ - sv_airaccel_sideways_friction
+ - sv_airaccelerate
+ - sv_aircontrol
+ - sv_aircontrol_penalty
+ - sv_aircontrol_power
+ - sv_airspeedlimit_nonqw
+ - sv_airstopaccelerate
+ - sv_airstrafeaccel_qw
+ - sv_airstrafeaccelerate
+ - sv_allow_fullbright
+ - sv_allowdownloads
+ - sv_allowdownloads_archive
+ - sv_allowdownloads_config
+ - sv_allowdownloads_dlcache
+ - sv_allowdownloads_inarchive
+ - sv_areadebug
+ - sv_areagrid_mingridsize
+ - sv_autodemo_perclient
+ - sv_autodemo_perclient_discardable
+ - sv_autodemo_perclient_nameformat
+ - sv_autoscreenshot
+ - sv_autotaunt
+ - sv_cheats
+ - sv_checkforpacketsduringsleep
+ - sv_clientcommand_antispam_count
+ - sv_clientcommand_antispam_time
+ - sv_clmovement_enable
+ - sv_clmovement_inputtimeout
+ - sv_clmovement_minping
+ - sv_clmovement_minping_disabletime
+ - sv_clones
+ - sv_cullentities_nevercullbmodels
+ - sv_cullentities_pvs
+ - sv_cullentities_stats
+ - sv_cullentities_trace
+ - sv_cullentities_trace_delay
+ - sv_cullentities_trace_delay_players
+ - sv_cullentities_trace_enlarge
+ - sv_cullentities_trace_entityocclusion
+ - sv_cullentities_trace_prediction
+ - sv_cullentities_trace_prediction_time
+ - sv_cullentities_trace_samples
+ - sv_cullentities_trace_samples_extra
+ - sv_cullentities_trace_samples_players
+ - sv_curl_defaulturl
+ - sv_curl_maxspeed
+ - sv_curl_serverpackages
+ - sv_curl_serverpackages_auto
+ - sv_db_saveasdump
+ - sv_debugmove
+ - sv_defaultcharacter
+ - sv_defaultplayercolors
+ - sv_defaultplayermodel
+ - sv_defaultplayermodel_blue
+ - sv_defaultplayermodel_pink
+ - sv_defaultplayermodel_red
+ - sv_defaultplayermodel_yellow
+ - sv_defaultplayerskin
+ - sv_defaultplayerskin_blue
+ - sv_defaultplayerskin_pink
+ - sv_defaultplayerskin_red
+ - sv_defaultplayerskin_yellow
+ - sv_disablenotify
+ - sv_dodging_delay
+ - sv_dodging_height_threshold
+ - sv_dodging_horiz_speed
+ - sv_dodging_ramp_time
+ - sv_dodging_sound
+ - sv_dodging_up_speed
+ - sv_dodging_wall_distance_threshold
+ - sv_dodging_wall_dodging
+ - sv_doublejump
+ - sv_echobprint
+ - sv_entpatch
+ - sv_eventlog
+ - sv_eventlog_console
+ - sv_eventlog_files
+ - sv_eventlog_files_counter
+ - sv_eventlog_files_nameprefix
+ - sv_eventlog_files_namesuffix
+ - sv_eventlog_files_timestamps
+ - sv_fixedframeratesingleplayer
+ - sv_foginterval
+ - sv_fraginfo
+ - sv_fraginfo_handicap
+ - sv_fraginfo_ping
+ - sv_fraginfo_stats
+ - sv_freezenonclients
+ - sv_friction
+ - sv_friction_on_land
+ - sv_gameplayfix_blowupfallenzombies
+ - sv_gameplayfix_consistentplayerprethink
+ - sv_gameplayfix_delayprojectiles
+ - sv_gameplayfix_downtracesupportsongroundflag
+ - sv_gameplayfix_droptofloorstartsolid
+ - sv_gameplayfix_droptofloorstartsolid_nudgetocorrect
+ - sv_gameplayfix_easierwaterjump
+ - sv_gameplayfix_findradiusdistancetobox
+ - sv_gameplayfix_fixedcheckwatertransition
+ - sv_gameplayfix_gravityunaffectedbyticrate
+ - sv_gameplayfix_grenadebouncedownslopes
+ - sv_gameplayfix_multiplethinksperframe
+ - sv_gameplayfix_noairborncorpse
+ - sv_gameplayfix_noairborncorpse_allowsuspendeditems
+ - sv_gameplayfix_nogravityonground
+ - sv_gameplayfix_nostepmoveonsteepslopes
+ - sv_gameplayfix_nudgeoutofsolid
+ - sv_gameplayfix_nudgeoutofsolid_separation
+ - sv_gameplayfix_q1bsptracelinereportstexture
+ - sv_gameplayfix_q2airaccelerate
+ - sv_gameplayfix_setmodelrealbox
+ - sv_gameplayfix_slidemoveprojectiles
+ - sv_gameplayfix_stepdown
+ - sv_gameplayfix_stepmultipletimes
+ - sv_gameplayfix_swiminbmodels
+ - sv_gameplayfix_unstickentities
+ - sv_gameplayfix_unstickplayers
+ - sv_gameplayfix_upwardvelocityclearsongroundflag
+ - sv_gentle
+ - sv_gibhealth
+ - sv_gravity
+ - sv_heartbeatperiod
+ - sv_idealpitchscale
+ - sv_intermission_cdtrack
+ - sv_join_notices
+ - sv_join_notices_time
+ - sv_jumpspeedcap_max
+ - sv_jumpspeedcap_max_disable_on_ramps
+ - sv_jumpspeedcap_min
+ - sv_jumpstep
+ - sv_jumpvelocity
+ - sv_logscores_bots
+ - sv_logscores_console
+ - sv_logscores_file
+ - sv_logscores_filename
+ - sv_mapchange_delay
+ - sv_master1
+ - sv_master2
+ - sv_master3
+ - sv_master4
+ - sv_masterextra1
+ - sv_masterextra2
+ - sv_masterextra3
+ - sv_maxairspeed
+ - sv_maxairstrafespeed
+ - sv_maxidle
+ - sv_maxidle_spectatorsareidle
+ - sv_maxrate
+ - sv_maxspeed
+ - sv_maxvelocity
+ - sv_motd
+ - sv_namechangetimer
+ - sv_nostep
+ - sv_onlycsqcnetworking
+ - sv_player_crouch_maxs
+ - sv_player_crouch_mins
+ - sv_player_crouch_viewoffset
+ - sv_player_headsize
+ - sv_player_maxs
+ - sv_player_mins
+ - sv_player_viewoffset
+ - sv_playerphysicsqc
+ - sv_precacheitems
+ - sv_precacheplayermodels
+ - sv_precacheweapons
+ - sv_progs
+ - sv_protocolname
+ - sv_public
+ - sv_public_rejectreason
+ - sv_q3acompat_machineshotgunswap
+ - sv_random_seed
+ - sv_ratelimitlocalplayer
+ - sv_ready_restart
+ - sv_ready_restart_after_countdown
+ - sv_ready_restart_repeatable
+ - sv_servermodelsonly
+ - sv_shownames_cull_distance
+ - sv_simple_items
+ - sv_sound_land
+ - sv_sound_watersplash
+ - sv_spectate
+ - sv_spectator_speed_multiplier
+ - sv_status_privacy
+ - sv_status_show_qcstatus
+ - sv_stepheight
+ - sv_stopspeed
+ - sv_strengthsound_antispam_refire_threshold
+ - sv_strengthsound_antispam_time
+ - sv_taunt
+ - sv_teamnagger
+ - sv_threaded
+ - sv_timeout
+ - sv_timeout_leadtime
+ - sv_timeout_length
+ - sv_timeout_number
+ - sv_timeout_resumetime
+ - sv_vote_call
+ - sv_vote_change
+ - sv_vote_command_restriction_allready
+ - sv_vote_command_restriction_chmap
+ - sv_vote_command_restriction_cointoss
+ - sv_vote_command_restriction_endmatch
+ - sv_vote_command_restriction_extendmatchtime
+ - sv_vote_command_restriction_fraglimit
+ - sv_vote_command_restriction_gotomap
+ - sv_vote_command_restriction_kick
+ - sv_vote_command_restriction_kickban
+ - sv_vote_command_restriction_movetoauto
+ - sv_vote_command_restriction_movetoblue
+ - sv_vote_command_restriction_movetopink
+ - sv_vote_command_restriction_movetored
+ - sv_vote_command_restriction_movetoyellow
+ - sv_vote_command_restriction_nextmap
+ - sv_vote_command_restriction_reducematchtime
+ - sv_vote_command_restriction_restart
+ - sv_vote_commands
+ - sv_vote_gamestart
+ - sv_vote_limit
+ - sv_vote_majority_factor
+ - sv_vote_majority_factor_of_voted
+ - sv_vote_master
+ - sv_vote_master_callable
+ - sv_vote_master_commands
+ - sv_vote_master_password
+ - sv_vote_master_playerlimit
+ - sv_vote_no_stops_vote
+ - sv_vote_nospectators
+ - sv_vote_only_commands
+ - sv_vote_override_mostrecent
+ - sv_vote_singlecount
+ - sv_vote_stop
+ - sv_vote_timeout
+ - sv_vote_wait
+ - sv_wallfriction
+ - sv_warsowbunny_accel
+ - sv_warsowbunny_airforwardaccel
+ - sv_warsowbunny_backtosideratio
+ - sv_warsowbunny_topspeed
+ - sv_warsowbunny_turnaccel
+ - sv_wateraccelerate
+ - sv_waterfriction
+ - sv_waypointsprite_deadlifetime
+ - sv_waypointsprite_deployed_lifetime
+ - sv_waypointsprite_limitedrange
+ - sv_weaponstats_file
+ - sv_worldbasename
+ - sv_worldmessage
+ - sv_worldname
+ - sv_worldnamenoextension
+ - sv_writepicture_quality
+ - sys_colortranslation
+ - sys_debugsleep
+ - sys_memsize_physical
+ - sys_memsize_virtual
+ - sys_specialcharactertranslation
+ - sys_ticrate
+ - sys_useclockgettime
+ - sys_usenoclockbutbenchmark
+ - team
+ - teamplay
+ - teamplay_lockonrestart
+ - teamplay_mode
+ - temp1
+ - the_goggles
+ - timedemo_screenshotframelist
+ - timeformat
+ - timelimit
+ - timelimit_decrement
+ - timelimit_increment
+ - timelimit_max
+ - timelimit_min
+ - timelimit_override
+ - timelimit_overtime
+ - timelimit_overtimes
+ - timelimit_suddendeath
+ - timestamps
+ - userbind10_description
+ - userbind10_press
+ - userbind10_release
+ - userbind11_description
+ - userbind11_press
+ - userbind11_release
+ - userbind12_description
+ - userbind12_press
+ - userbind12_release
+ - userbind13_description
+ - userbind13_press
+ - userbind13_release
+ - userbind14_description
+ - userbind14_press
+ - userbind14_release
+ - userbind15_description
+ - userbind15_press
+ - userbind15_release
+ - userbind16_description
+ - userbind16_press
+ - userbind16_release
+ - userbind17_description
+ - userbind17_press
+ - userbind17_release
+ - userbind18_description
+ - userbind18_press
+ - userbind18_release
+ - userbind19_description
+ - userbind19_press
+ - userbind19_release
+ - userbind1_description
+ - userbind1_press
+ - userbind1_release
+ - userbind20_description
+ - userbind20_press
+ - userbind20_release
+ - userbind21_description
+ - userbind21_press
+ - userbind21_release
+ - userbind22_description
+ - userbind22_press
+ - userbind22_release
+ - userbind23_description
+ - userbind23_press
+ - userbind23_release
+ - userbind24_description
+ - userbind24_press
+ - userbind24_release
+ - userbind25_description
+ - userbind25_press
+ - userbind25_release
+ - userbind26_description
+ - userbind26_press
+ - userbind26_release
+ - userbind27_description
+ - userbind27_press
+ - userbind27_release
+ - userbind28_description
+ - userbind28_press
+ - userbind28_release
+ - userbind29_description
+ - userbind29_press
+ - userbind29_release
+ - userbind2_description
+ - userbind2_press
+ - userbind2_release
+ - userbind30_description
+ - userbind30_press
+ - userbind30_release
+ - userbind31_description
+ - userbind31_press
+ - userbind31_release
+ - userbind32_description
+ - userbind32_press
+ - userbind32_release
+ - userbind3_description
+ - userbind3_press
+ - userbind3_release
+ - userbind4_description
+ - userbind4_press
+ - userbind4_release
+ - userbind5_description
+ - userbind5_press
+ - userbind5_release
+ - userbind6_description
+ - userbind6_press
+ - userbind6_release
+ - userbind7_description
+ - userbind7_press
+ - userbind7_release
+ - userbind8_description
+ - userbind8_press
+ - userbind8_release
+ - userbind9_description
+ - userbind9_press
+ - userbind9_release
+ - utf8_enable
+ - v_brightness
+ - v_centermove
+ - v_centerspeed
+ - v_color_black_b
+ - v_color_black_g
+ - v_color_black_r
+ - v_color_enable
+ - v_color_grey_b
+ - v_color_grey_g
+ - v_color_grey_r
+ - v_color_white_b
+ - v_color_white_g
+ - v_color_white_r
+ - v_contrast
+ - v_contrastboost
+ - v_deathtilt
+ - v_deathtiltangle
+ - v_flipped
+ - v_gamma
+ - v_glslgamma
+ - v_glslgamma_2d
+ - v_glslgamma_video
+ - v_hwgamma
+ - v_idlescale
+ - v_ipitch_cycle
+ - v_ipitch_level
+ - v_iroll_cycle
+ - v_iroll_level
+ - v_iyaw_cycle
+ - v_iyaw_level
+ - v_kickpitch
+ - v_kickroll
+ - v_kicktime
+ - v_psycho
+ - vid_bitsperpixel
+ - vid_conheight
+ - vid_conwidth
+ - vid_fullscreen
+ - vid_gl13
+ - vid_gl20
+ - vid_grabkeyboard
+ - vid_hardwaregammasupported
+ - vid_height
+ - vid_minheight
+ - vid_minwidth
+ - vid_mouse
+ - vid_netwmfullscreen
+ - vid_pixelheight
+ - vid_refreshrate
+ - vid_resizable
+ - vid_sRGB
+ - vid_sRGB_fallback
+ - vid_samples
+ - vid_soft
+ - vid_soft_interlace
+ - vid_soft_threads
+ - vid_stereobuffer
+ - vid_stick_mouse
+ - vid_touchscreen
+ - vid_touchscreen_outlinealpha
+ - vid_touchscreen_overlayalpha
+ - vid_userefreshrate
+ - vid_vsync
+ - vid_width
+ - vid_x11_display
+ - viewsize
+ - volume
+ - waypoint_benchmark
+ - welcome_message_time
+ - _allow_unacceptable_compiler_bugs
+ - _angles
+ - _backup_con_chatvars_set
+ - _campaign_index
+ - _campaign_name
+ - _campaign_testrun
+ - _cl_color
+ - _cl_name
+ - _cl_playermodel
+ - _cl_playerskin
+ - _cl_pmodel
+ - _cl_rate
+ - _con_chat_maximized
+ - _hud_configure
+ - _hud_panelorder
+ - _hud_showbinds_reload
+ - _independent_players
+ - _isalnum
+ - _isalpha
+ - _isascii
+ - _isblank
+ - _iscntrl
+ - _isdigit
+ - _isgraph
+ - _islower
+ - _isprint
+ - _ispunct
+ - _isspace
+ - _isupper
+ - _isxdigit
+ - _menu_alpha
+ - _menu_initialized
+ - _menu_prvm_language
+ - _menu_vid_height
+ - _menu_vid_pixelheight
+ - _menu_vid_width
+ - _notarget
+ - _origin
+ - _snd_mixahead
+ - _sv_init
+ - _togglezoom
+ - _urllib_nextslot
- - +attack2
- - +con_chat_maximize
- - +crouch
- - +fire
- - +fire2
- - +hook
- - +hud_panel_radar_maximized
- - +show_info
- - +userbind
- - +zoom
- - -attack2
- - -con_chat_maximize
- - -crouch
- - -fire
- - -fire2
- - -hook
- - -hud_panel_radar_maximized
- - -show_info
- - -userbind
- - -zoom
- - addfav
- - addtolist
- - addvote
- - adminmsg
- - allready
- - allspec
- - anticheat
- - asay_ctf_flagcarrier
- - asay_ctf_haveflag
- - asay_drop
- - asay_killed
- - asay_noammo
- - asay_support
- - asay_willgo
- - autoswitch
- - ban
- - banlist
- - bans
- - bbox
- - blurtest
- - bot_cmd
- - bsp
- - checkfail
- - chmap
- - cl_hook_activeweapon
- - cl_hook_gameend
- - cl_hook_gamestart_all
- - cl_hook_gamestart_arena
- - cl_hook_gamestart_as
- - cl_hook_gamestart_ca
- - cl_hook_gamestart_ctf
- - cl_hook_gamestart_cts
- - cl_hook_gamestart_dm
- - cl_hook_gamestart_dom
- - cl_hook_gamestart_ft
- - cl_hook_gamestart_ka
- - cl_hook_gamestart_kh
- - cl_hook_gamestart_lms
- - cl_hook_gamestart_nb
- - cl_hook_gamestart_nop
- - cl_hook_gamestart_ons
- - cl_hook_gamestart_rc
- - cl_hook_gamestart_tdm
- - clearmap
- - clientversion
- - cointoss
- - create_scrshot_ent
- - cvar_changes
- - cvar_purechanges
- - database
- - debugmodel
- - defer_clear
- - defer_clear_all
- - delrec
- - devmap
- - dropweapon
- - dumpcommands
- - dumpdb
- - dumpnotifs
- - effectindexdump
- - endmatch
- - extendmatchtime
- - g_forced_team_matchsetup
- - g_hitplots_add
- - g_hitplots_remove
- - g_maplist_add
- - g_maplist_cleanup
- - g_maplist_putfirst
- - g_maplist_remove
- - g_maplist_shufflenow
- - g_tourney
- - g_tourney_0
- - g_tourney_1
- - g_turrets_reload
- - g_waypointeditor_relinkall
- - g_waypointeditor_remove
- - g_waypointeditor_saveall
- - g_waypointeditor_spawn
- - g_waypointeditor_unreachable
- - g_waypointsprite_clear
- - g_waypointsprite_clear_personal
- - g_waypointsprite_personal
- - g_waypointsprite_personal_d
- - g_waypointsprite_personal_p
- - g_waypointsprite_team_danger
- - g_waypointsprite_team_danger_d
- - g_waypointsprite_team_danger_p
- - g_waypointsprite_team_helpme
- - g_waypointsprite_team_here
- - g_waypointsprite_team_here_d
- - g_waypointsprite_team_here_p
- - g_waypointsprite_toggle
- - gametype
- - gettaginfo
- - gl_flashblend_update
- - gotomap
- - help
- - hud
- - hud_configure
- - hud_panel_radar_maximized
- - hud_panel_radar_rotate
- - hud_save
- - if_client
- - if_dedicated
- - info
- - ladder
- - loadconfig
- - loaddb
- - localprint
- - lockteams
- - lsmaps
- - lsnewmaps
- - make_mapinfo
- - maplist
- - menu_loadmap_prepare
- - menu_showhudexit
- - menu_showhudoptions
- - menu_showquitdialog
- - menu_showsandboxtools
- - menu_showteamselect
- - menu_sync
- - moveplayer
- - movetoauto
- - movetoblue
- - movetopink
- - movetored
- - movetoyellow
- - mute
- - nextframe
- - nospectators
- - playerdemo
- - ply
- - printmaplist
- - printstats
- - qc_cmd_cl
- - qc_cmd_cmd
- - qc_cmd_sv
- - qc_cmd_svcl
- - qc_cmd_svcmd
- - qc_cmd_svmenu
- - qc_curl
- - radar
- - radarmap
- - rankings
- - ready
- - rec
- - records
- - reducematchtime
- - reload
- - removefromlist
- - reportcvar
- - restartnotifs
- - rpn
- - sandbox
- - savedb
- - scoreboard_columns_help
- - scoreboard_columns_set
- - search
- - selectteam
- - selfstuff
- - sendcvar
- - sentcvar
- - setbots
- - sethostname
- - setreport
- - settemp
- - settemp_restore
- - shuffleteams
- - singleplayer_continue
- - singleplayer_levellist
- - singleplayer_start
- - snd_attenuation_method_0
- - snd_attenuation_method_1
- - snd_attenuation_method_2
- - spec
- - spectate
- - startmap_dm
- - startmap_sp
- - stuffto
- - suggestmap
- - sv_fbskin_green
- - sv_fbskin_off
- - sv_fbskin_orange
- - sv_fbskin_rainbow
- - sv_fbskin_red
- - sv_fbskin_unique
- - sv_hook_gameend
- - sv_hook_gamerestart
- - sv_hook_gamestart_all
- - sv_hook_gamestart_arena
- - sv_hook_gamestart_as
- - sv_hook_gamestart_ca
- - sv_hook_gamestart_ctf
- - sv_hook_gamestart_cts
- - sv_hook_gamestart_dm
- - sv_hook_gamestart_dom
- - sv_hook_gamestart_ft
- - sv_hook_gamestart_ka
- - sv_hook_gamestart_kh
- - sv_hook_gamestart_lms
- - sv_hook_gamestart_nb
- - sv_hook_gamestart_ons
- - sv_hook_gamestart_rc
- - sv_hook_gamestart_tdm
- - sv_loadconfig
- - sv_restart
- - tdem
- - team_auto
- - team_blue
- - team_pink
- - team_red
- - team_yellow
- - teamstatus
- - time
- - timein
- - timeout
- - togglezoom
- - trace
- - unban
- - unlockteams
- - unmute
- - use
- - vabstain
- - vcall
- - vdo
- - vdoend
- - vdokick
- - vdokickban
- - vdomap
- - vdontcare
- - vend
- - vhelp
- - vkick
- - vkickban
- - vlogin
- - vmap
- - vmaster
- - vnextmap
- - vno
- - voice
- - vote
- - vstatus
- - vstop
- - vyes
- - warp
- - weapbest
- - weaplast
- - weapnext
- - weapon_crylink
- - weapon_electro
- - weapon_fireball
- - weapon_grenadelauncher
- - weapon_group_0
- - weapon_group_1
- - weapon_group_2
- - weapon_group_3
- - weapon_group_4
- - weapon_group_5
- - weapon_group_6
- - weapon_group_7
- - weapon_group_8
- - weapon_group_9
- - weapon_hagar
- - weapon_hlac
- - weapon_hook
- - weapon_laser
- - weapon_minelayer
- - weapon_minstanex
- - weapon_nex
- - weapon_porto
- - weapon_rifle
- - weapon_rocketlauncher
- - weapon_seeker
- - weapon_shotgun
- - weapon_tuba
- - weapon_uzi
- - weapprev
- - who
- - _backup_con_chatvars
- - _backup_con_chatvars_0
- - _backup_con_chatvars_1
- - _cl_hook_gamestart
- - _cl_hook_gamestart_stage2
- - _detect_dedicated_$qport
- - _detect_dedicated_0
- - _gl_flashblend_update_00
- - _gl_flashblend_update_01
- - _gl_flashblend_update_10
- - _gl_flashblend_update_11
- - _gunalign_01
- - _gunalign_02
- - _gunalign_03
- - _gunalign_04
- - _gunalign_11
- - _gunalign_12
- - _gunalign_13
- - _gunalign_14
- - _gunalign_update
- - _if_dedicated
- - _menu_loadmap_prepare_maxpl
- - _restore_con_chatvars
- - _restore_con_chatvars_0
- - _restore_con_chatvars_1
- - _sv_hook_gamestart
- - _sv_hook_gamestart_stage2
- - _update_configversion_0
- - _update_configversion_1
- - _update_configversion_2
- - _update_configversion_3
- - _update_configversion_4
- - _update_configversion_5
- - _update_configversion_6
- - _update_configversion_7
- - _userbind_call
- - _weapnext_0
- - _weapnext_1
- - _weapnext_2
- - _weapprev_0
- - _weapprev_1
- - _weapprev_2
+ - +attack2
+ - +con_chat_maximize
+ - +crouch
+ - +fire
+ - +fire2
+ - +hook
+ - +hud_panel_radar_maximized
+ - +show_info
+ - +userbind
+ - +zoom
+ - -attack2
+ - -con_chat_maximize
+ - -crouch
+ - -fire
+ - -fire2
+ - -hook
+ - -hud_panel_radar_maximized
+ - -show_info
+ - -userbind
+ - -zoom
+ - addfav
+ - addtolist
+ - addvote
+ - adminmsg
+ - allready
+ - allspec
+ - anticheat
+ - asay_ctf_flagcarrier
+ - asay_ctf_haveflag
+ - asay_drop
+ - asay_killed
+ - asay_noammo
+ - asay_support
+ - asay_willgo
+ - autoswitch
+ - ban
+ - banlist
+ - bans
+ - bbox
+ - blurtest
+ - bot_cmd
+ - bsp
+ - checkfail
+ - chmap
+ - cl_hook_activeweapon
+ - cl_hook_gameend
+ - cl_hook_gamestart_all
+ - cl_hook_gamestart_arena
+ - cl_hook_gamestart_as
+ - cl_hook_gamestart_ca
+ - cl_hook_gamestart_ctf
+ - cl_hook_gamestart_cts
+ - cl_hook_gamestart_dm
+ - cl_hook_gamestart_dom
+ - cl_hook_gamestart_ft
+ - cl_hook_gamestart_ka
+ - cl_hook_gamestart_kh
+ - cl_hook_gamestart_lms
+ - cl_hook_gamestart_nb
+ - cl_hook_gamestart_nop
+ - cl_hook_gamestart_ons
+ - cl_hook_gamestart_rc
+ - cl_hook_gamestart_tdm
+ - clearmap
+ - clientversion
+ - cointoss
+ - create_scrshot_ent
+ - cvar_changes
+ - cvar_purechanges
+ - database
+ - debugmodel
+ - defer_clear
+ - defer_clear_all
+ - delrec
+ - devmap
+ - dropweapon
+ - dumpcommands
+ - dumpdb
+ - dumpnotifs
+ - effectindexdump
+ - endmatch
+ - extendmatchtime
+ - g_forced_team_matchsetup
+ - g_hitplots_add
+ - g_hitplots_remove
+ - g_maplist_add
+ - g_maplist_cleanup
+ - g_maplist_putfirst
+ - g_maplist_remove
+ - g_maplist_shufflenow
+ - g_tourney
+ - g_tourney_0
+ - g_tourney_1
+ - g_turrets_reload
+ - g_waypointeditor_relinkall
+ - g_waypointeditor_remove
+ - g_waypointeditor_saveall
+ - g_waypointeditor_spawn
+ - g_waypointeditor_unreachable
+ - g_waypointsprite_clear
+ - g_waypointsprite_clear_personal
+ - g_waypointsprite_personal
+ - g_waypointsprite_personal_d
+ - g_waypointsprite_personal_p
+ - g_waypointsprite_team_danger
+ - g_waypointsprite_team_danger_d
+ - g_waypointsprite_team_danger_p
+ - g_waypointsprite_team_helpme
+ - g_waypointsprite_team_here
+ - g_waypointsprite_team_here_d
+ - g_waypointsprite_team_here_p
+ - g_waypointsprite_toggle
+ - gametype
+ - gettaginfo
+ - gl_flashblend_update
+ - gotomap
+ - help
+ - hud
+ - hud_configure
+ - hud_panel_radar_maximized
+ - hud_panel_radar_rotate
+ - hud_save
+ - if_client
+ - if_dedicated
+ - info
+ - ladder
+ - loadconfig
+ - loaddb
+ - localprint
+ - lockteams
+ - lsmaps
+ - lsnewmaps
+ - make_mapinfo
+ - maplist
+ - menu_loadmap_prepare
+ - menu_showhudexit
+ - menu_showhudoptions
+ - menu_showquitdialog
+ - menu_showsandboxtools
+ - menu_showteamselect
+ - menu_sync
+ - moveplayer
+ - movetoauto
+ - movetoblue
+ - movetopink
+ - movetored
+ - movetoyellow
+ - mute
+ - nextframe
+ - nospectators
+ - playerdemo
+ - ply
+ - printmaplist
+ - printstats
+ - qc_cmd_cl
+ - qc_cmd_cmd
+ - qc_cmd_sv
+ - qc_cmd_svcl
+ - qc_cmd_svcmd
+ - qc_cmd_svmenu
+ - qc_curl
+ - radar
+ - radarmap
+ - rankings
+ - ready
+ - rec
+ - records
+ - reducematchtime
+ - reload
+ - removefromlist
+ - reportcvar
+ - restartnotifs
+ - rpn
+ - sandbox
+ - savedb
+ - scoreboard_columns_help
+ - scoreboard_columns_set
+ - search
+ - selectteam
+ - selfstuff
+ - sendcvar
+ - sentcvar
+ - setbots
+ - sethostname
+ - setreport
+ - settemp
+ - settemp_restore
+ - shuffleteams
+ - singleplayer_continue
+ - singleplayer_levellist
+ - singleplayer_start
+ - snd_attenuation_method_0
+ - snd_attenuation_method_1
+ - snd_attenuation_method_2
+ - spec
+ - spectate
+ - startmap_dm
+ - startmap_sp
+ - stuffto
+ - suggestmap
+ - sv_fbskin_green
+ - sv_fbskin_off
+ - sv_fbskin_orange
+ - sv_fbskin_rainbow
+ - sv_fbskin_red
+ - sv_fbskin_unique
+ - sv_hook_gameend
+ - sv_hook_gamerestart
+ - sv_hook_gamestart_all
+ - sv_hook_gamestart_arena
+ - sv_hook_gamestart_as
+ - sv_hook_gamestart_ca
+ - sv_hook_gamestart_ctf
+ - sv_hook_gamestart_cts
+ - sv_hook_gamestart_dm
+ - sv_hook_gamestart_dom
+ - sv_hook_gamestart_ft
+ - sv_hook_gamestart_ka
+ - sv_hook_gamestart_kh
+ - sv_hook_gamestart_lms
+ - sv_hook_gamestart_nb
+ - sv_hook_gamestart_ons
+ - sv_hook_gamestart_rc
+ - sv_hook_gamestart_tdm
+ - sv_loadconfig
+ - sv_restart
+ - tdem
+ - team_auto
+ - team_blue
+ - team_pink
+ - team_red
+ - team_yellow
+ - teamstatus
+ - time
+ - timein
+ - timeout
+ - togglezoom
+ - trace
+ - unban
+ - unlockteams
+ - unmute
+ - use
+ - vabstain
+ - vcall
+ - vdo
+ - vdoend
+ - vdokick
+ - vdokickban
+ - vdomap
+ - vdontcare
+ - vend
+ - vhelp
+ - vkick
+ - vkickban
+ - vlogin
+ - vmap
+ - vmaster
+ - vnextmap
+ - vno
+ - voice
+ - vote
+ - vstatus
+ - vstop
+ - vyes
+ - warp
+ - weapbest
+ - weaplast
+ - weapnext
+ - weapon_crylink
+ - weapon_electro
+ - weapon_fireball
+ - weapon_grenadelauncher
+ - weapon_group_0
+ - weapon_group_1
+ - weapon_group_2
+ - weapon_group_3
+ - weapon_group_4
+ - weapon_group_5
+ - weapon_group_6
+ - weapon_group_7
+ - weapon_group_8
+ - weapon_group_9
+ - weapon_hagar
+ - weapon_hlac
+ - weapon_hook
+ - weapon_laser
+ - weapon_minelayer
+ - weapon_minstanex
+ - weapon_nex
+ - weapon_porto
+ - weapon_rifle
+ - weapon_rocketlauncher
+ - weapon_seeker
+ - weapon_shotgun
+ - weapon_tuba
+ - weapon_uzi
+ - weapprev
+ - who
+ - _backup_con_chatvars
+ - _backup_con_chatvars_0
+ - _backup_con_chatvars_1
+ - _cl_hook_gamestart
+ - _cl_hook_gamestart_stage2
+ - _detect_dedicated_$qport
+ - _detect_dedicated_0
+ - _gl_flashblend_update_00
+ - _gl_flashblend_update_01
+ - _gl_flashblend_update_10
+ - _gl_flashblend_update_11
+ - _gunalign_01
+ - _gunalign_02
+ - _gunalign_03
+ - _gunalign_04
+ - _gunalign_11
+ - _gunalign_12
+ - _gunalign_13
+ - _gunalign_14
+ - _gunalign_update
+ - _if_dedicated
+ - _menu_loadmap_prepare_maxpl
+ - _restore_con_chatvars
+ - _restore_con_chatvars_0
+ - _restore_con_chatvars_1
+ - _sv_hook_gamestart
+ - _sv_hook_gamestart_stage2
+ - _update_configversion_0
+ - _update_configversion_1
+ - _update_configversion_2
+ - _update_configversion_3
+ - _update_configversion_4
+ - _update_configversion_5
+ - _update_configversion_6
+ - _update_configversion_7
+ - _userbind_call
+ - _weapnext_0
+ - _weapnext_1
+ - _weapnext_2
+ - _weapprev_0
+ - _weapprev_1
+ - _weapprev_2
- - +attack
- - +back
- - +button10
- - +button11
- - +button12
- - +button13
- - +button14
- - +button15
- - +button16
- - +button3
- - +button4
- - +button5
- - +button6
- - +button7
- - +button8
- - +button9
- - +forward
- - +jump
- - +klook
- - +left
- - +lookdown
- - +lookup
- - +mlook
- - +movedown
- - +moveleft
- - +moveright
- - +moveup
- - +right
- - +showscores
- - +speed
- - +strafe
- - +use
- - -attack
- - -back
- - -button10
- - -button11
- - -button12
- - -button13
- - -button14
- - -button15
- - -button16
- - -button3
- - -button4
- - -button5
- - -button6
- - -button7
- - -button8
- - -button9
- - -forward
- - -jump
- - -klook
- - -left
- - -lookdown
- - -lookup
- - -mlook
- - -movedown
- - -moveleft
- - -moveright
- - -moveup
- - -right
- - -showscores
- - -speed
- - -strafe
- - -use
+ - +attack
+ - +back
+ - +button10
+ - +button11
+ - +button12
+ - +button13
+ - +button14
+ - +button15
+ - +button16
+ - +button3
+ - +button4
+ - +button5
+ - +button6
+ - +button7
+ - +button8
+ - +button9
+ - +forward
+ - +jump
+ - +klook
+ - +left
+ - +lookdown
+ - +lookup
+ - +mlook
+ - +movedown
+ - +moveleft
+ - +moveright
+ - +moveup
+ - +right
+ - +showscores
+ - +speed
+ - +strafe
+ - +use
+ - -attack
+ - -back
+ - -button10
+ - -button11
+ - -button12
+ - -button13
+ - -button14
+ - -button15
+ - -button16
+ - -button3
+ - -button4
+ - -button5
+ - -button6
+ - -button7
+ - -button8
+ - -button9
+ - -forward
+ - -jump
+ - -klook
+ - -left
+ - -lookdown
+ - -lookup
+ - -mlook
+ - -movedown
+ - -moveleft
+ - -moveright
+ - -moveup
+ - -right
+ - -showscores
+ - -speed
+ - -strafe
+ - -use
- - *
- - [
- - ]
- - \
- - /
- - '
- - =
- - -
- - +
- - ,
- - .
- - `
- - ~
- - 1
- - 2
- - 3
- - 4
- - 5
- - 6
- - 7
- - 8
- - 9
- - 0
- - a
- - b
- - c
- - d
- - e
- - f
- - g
- - h
- - i
- - j
- - k
- - l
- - m
- - n
- - o
- - p
- - q
- - r
- - s
- - t
- - u
- - v
- - x
- - w
- - y
- - z
- - ALT
- - AUX1
- - AUX10
- - AUX11
- - AUX12
- - AUX13
- - AUX14
- - AUX15
- - AUX16
- - AUX17
- - AUX18
- - AUX2
- - AUX20
- - AUX21
- - AUX22
- - AUX23
- - AUX24
- - AUX25
- - AUX26
- - AUX27
- - AUX28
- - AUX29
- - AUX3
- - AUX30
- - AUX31
- - AUX32
- - AUX4
- - AUX5
- - AUX6
- - AUX7
- - AUX8
- - AUX9
- - BACKSPACE
- - CTRL
- - DEL
- - DOWNARROW
- - END
- - ENTER
- - ESCAPE
- - F1
- - F10
- - F11
- - F12
- - F2
- - F3
- - F4
- - F5
- - F6
- - F7
- - F8
- - F9
- - HOME
- - INS
- - JOY1
- - JOY2
- - JOY3
- - JOY4
- - KP_SLASH
- - KP_5
- - KP_UPARROW
- - KP_LEFTARROW
- - KP_RIGHTARROW
- - KP_DOWNARROW
- - KP_HOME
- - KP_END
- - KP_PGUP
- - KP_PGDN
- - KP_INS
- - KP_DEL
- - LEFTARROW
- - MOUSE1
- - MOUSE2
- - MOUSE3
- - MWHEELDOWN
- - MWHEELUP
- - PAUSE
- - PGDN
- - PGUP
- - RIGHTARROW
- - SEMICOLON
- - CAPSLOCK
- - SHIFT
- - SPACE
- - TAB
- - UPARROW
+ - *
+ - [
+ - ]
+ - \
+ - /
+ - '
+ - =
+ - -
+ - +
+ - ,
+ - .
+ - `
+ - ~
+ - 1
+ - 2
+ - 3
+ - 4
+ - 5
+ - 6
+ - 7
+ - 8
+ - 9
+ - 0
+ - a
+ - b
+ - c
+ - d
+ - e
+ - f
+ - g
+ - h
+ - i
+ - j
+ - k
+ - l
+ - m
+ - n
+ - o
+ - p
+ - q
+ - r
+ - s
+ - t
+ - u
+ - v
+ - x
+ - w
+ - y
+ - z
+ - ALT
+ - AUX1
+ - AUX10
+ - AUX11
+ - AUX12
+ - AUX13
+ - AUX14
+ - AUX15
+ - AUX16
+ - AUX17
+ - AUX18
+ - AUX2
+ - AUX20
+ - AUX21
+ - AUX22
+ - AUX23
+ - AUX24
+ - AUX25
+ - AUX26
+ - AUX27
+ - AUX28
+ - AUX29
+ - AUX3
+ - AUX30
+ - AUX31
+ - AUX32
+ - AUX4
+ - AUX5
+ - AUX6
+ - AUX7
+ - AUX8
+ - AUX9
+ - BACKSPACE
+ - CTRL
+ - DEL
+ - DOWNARROW
+ - END
+ - ENTER
+ - ESCAPE
+ - F1
+ - F10
+ - F11
+ - F12
+ - F2
+ - F3
+ - F4
+ - F5
+ - F6
+ - F7
+ - F8
+ - F9
+ - HOME
+ - INS
+ - JOY1
+ - JOY2
+ - JOY3
+ - JOY4
+ - KP_SLASH
+ - KP_5
+ - KP_UPARROW
+ - KP_LEFTARROW
+ - KP_RIGHTARROW
+ - KP_DOWNARROW
+ - KP_HOME
+ - KP_END
+ - KP_PGUP
+ - KP_PGDN
+ - KP_INS
+ - KP_DEL
+ - LEFTARROW
+ - MOUSE1
+ - MOUSE2
+ - MOUSE3
+ - MWHEELDOWN
+ - MWHEELUP
+ - PAUSE
+ - PGDN
+ - PGUP
+ - RIGHTARROW
+ - SEMICOLON
+ - CAPSLOCK
+ - SHIFT
+ - SPACE
+ - TAB
+ - UPARROW
- - ;
- - $
+ - ;
+ - $
- - bind
- - unbind
+ - bind
+ - unbind
- - set
- - seta
- - setu
- - sets
+ - set
+ - seta
+ - setu
+ - sets
- - echo
- - say_team
- - say
+ - echo
+ - say_team
+ - say
@@ -5640,7 +5640,6 @@
-
diff --git a/kate/data/xslt.xml b/kate/data/xslt.xml
index a731a59ba..4093d65d0 100644
--- a/kate/data/xslt.xml
+++ b/kate/data/xslt.xml
@@ -52,198 +52,198 @@
-->
-
+
- - xsl:value-of
- - xsl:output
- - xsl:decimal-format
- - xsl:apply-templates
- - xsl:param
- - xsl:transform
- - xsl:namespace-alias
- - xsl:comment
- - xsl:element
- - xsl:attribute
- - xsl:apply-imports
- - xsl:text
- - xsl:when
- - xsl:template
- - xsl:processing-instruction
- - xsl:include
- - xsl:copy-of
- - xsl:copy
- - xsl:with-param
- - xsl:stylesheet
- - xsl:for-each
- - xsl:choose
- - xsl:sort
- - xsl:otherwise
- - xsl:key
- - xsl:variable
- - xsl:number
- - xsl:message
- - xsl:fallback
- - xsl:strip-space
- - xsl:import
- - xsl:preserve-space
- - xsl:if
- - xsl:call-template
- - xsl:attribute-set
+ - xsl:value-of
+ - xsl:output
+ - xsl:decimal-format
+ - xsl:apply-templates
+ - xsl:param
+ - xsl:transform
+ - xsl:namespace-alias
+ - xsl:comment
+ - xsl:element
+ - xsl:attribute
+ - xsl:apply-imports
+ - xsl:text
+ - xsl:when
+ - xsl:template
+ - xsl:processing-instruction
+ - xsl:include
+ - xsl:copy-of
+ - xsl:copy
+ - xsl:with-param
+ - xsl:stylesheet
+ - xsl:for-each
+ - xsl:choose
+ - xsl:sort
+ - xsl:otherwise
+ - xsl:key
+ - xsl:variable
+ - xsl:number
+ - xsl:message
+ - xsl:fallback
+ - xsl:strip-space
+ - xsl:import
+ - xsl:preserve-space
+ - xsl:if
+ - xsl:call-template
+ - xsl:attribute-set
- - xsl:perform-sort
- - xsl:import-schema
- - xsl:for-each-group
- - xsl:sequence
- - xsl:non-matching-substring
- - xsl:namespace
- - xsl:next-match
- - xsl:function
- - xsl:analyze-string
- - xsl:output-character
- - xsl:matching-substring
- - xsl:result-document
- - xsl:character-map
- - xsl:document
+ - xsl:perform-sort
+ - xsl:import-schema
+ - xsl:for-each-group
+ - xsl:sequence
+ - xsl:non-matching-substring
+ - xsl:namespace
+ - xsl:next-match
+ - xsl:function
+ - xsl:analyze-string
+ - xsl:output-character
+ - xsl:matching-substring
+ - xsl:result-document
+ - xsl:character-map
+ - xsl:document
- - format-number
- - position
- - lang
- - substring-before
- - substring
- - normalize-space
- - round
- - translate
- - starts-with
- - concat
- - local-name
- - key
- - count
- - document
- - system-property
- - current
- - boolean
- - number
- - contains
- - name
- - last
- - unparsed-entity-uri
- - sum
- - generate-id
- - function-available
- - element-available
- - false
- - substring-after
- - not
- - string-length
- - id
- - floor
- - ceiling
- - namespace-uri
- - true
- - string
- - text
+ - format-number
+ - position
+ - lang
+ - substring-before
+ - substring
+ - normalize-space
+ - round
+ - translate
+ - starts-with
+ - concat
+ - local-name
+ - key
+ - count
+ - document
+ - system-property
+ - current
+ - boolean
+ - number
+ - contains
+ - name
+ - last
+ - unparsed-entity-uri
+ - sum
+ - generate-id
+ - function-available
+ - element-available
+ - false
+ - substring-after
+ - not
+ - string-length
+ - id
+ - floor
+ - ceiling
+ - namespace-uri
+ - true
+ - string
+ - text
- - zero-or-one
- - replace
- - namespace-uri-for-prefix
- - current-grouping-key
- - seconds-from-duration
- - resolve-uri
- - node-kind
- - minutes-from-dateTime
- - implicit-timezone
- - exactly-one
- - current-time
- - current-dateTime
- - unordered
- - subtract-dates-yielding-dayTimeDuration
- - string-join
- - static-base-uri
- - months-from-duration
- - input
- - exists
- - default-collation
- - dateTime
- - current-group
- - current-date
- - collection
- - timezone-from-time
- - matches
- - local-name-from-QName
- - day-from-date
- - timezone-from-date
- - round-half-to-even
- - month-from-dateTime
- - month-from-date
- - hours-from-duration
- - escape-uri
- - distinct-values
- - avg
- - years-from-duration
- - unparsed-text
- - unparsed-entity-public-id
- - subtract-dateTimes-yielding-dayTimeDuration
- - subtract-dates-yielding-yearMonthDuration
- - string-to-codepoints
- - sequence-node-identical
- - hours-from-time
- - hours-from-dateTime
- - format-time
- - codepoints-to-string
- - trace
- - tokenize
- - subtract-dateTimes-yielding-yearMonthDuration
- - subsequence
- - seconds-from-dateTime
- - regex-group
- - one-or-more
- - node-name
- - namespace-uri-from-QName
- - min
- - idref
- - format-dateTime
- - format-date
- - days-from-duration
- - compare
- - base-uri
- - seconds-from-time
- - in-scope-prefixes
- - expanded-QName
- - adjust-date-to-timezone
- - year-from-date
- - resolve-QName
- - remove
- - QName
- - minutes-from-time
- - max
- - lower-case
- - index-of
- - doc
- - deep-equal
- - data
- - minutes-from-duration
- - adjust-dateTime-to-timezone
- - abs
- - timezone-from-dateTime
- - reverse
- - error
- - ends-with
- - day-from-dateTime
- - year-from-dateTime
- - upper-case
- - root
- - normalize-unicode
- - empty
- - insert-before
- - document-uri
- - adjust-time-to-timezone
+ - zero-or-one
+ - replace
+ - namespace-uri-for-prefix
+ - current-grouping-key
+ - seconds-from-duration
+ - resolve-uri
+ - node-kind
+ - minutes-from-dateTime
+ - implicit-timezone
+ - exactly-one
+ - current-time
+ - current-dateTime
+ - unordered
+ - subtract-dates-yielding-dayTimeDuration
+ - string-join
+ - static-base-uri
+ - months-from-duration
+ - input
+ - exists
+ - default-collation
+ - dateTime
+ - current-group
+ - current-date
+ - collection
+ - timezone-from-time
+ - matches
+ - local-name-from-QName
+ - day-from-date
+ - timezone-from-date
+ - round-half-to-even
+ - month-from-dateTime
+ - month-from-date
+ - hours-from-duration
+ - escape-uri
+ - distinct-values
+ - avg
+ - years-from-duration
+ - unparsed-text
+ - unparsed-entity-public-id
+ - subtract-dateTimes-yielding-dayTimeDuration
+ - subtract-dates-yielding-yearMonthDuration
+ - string-to-codepoints
+ - sequence-node-identical
+ - hours-from-time
+ - hours-from-dateTime
+ - format-time
+ - codepoints-to-string
+ - trace
+ - tokenize
+ - subtract-dateTimes-yielding-yearMonthDuration
+ - subsequence
+ - seconds-from-dateTime
+ - regex-group
+ - one-or-more
+ - node-name
+ - namespace-uri-from-QName
+ - min
+ - idref
+ - format-dateTime
+ - format-date
+ - days-from-duration
+ - compare
+ - base-uri
+ - seconds-from-time
+ - in-scope-prefixes
+ - expanded-QName
+ - adjust-date-to-timezone
+ - year-from-date
+ - resolve-QName
+ - remove
+ - QName
+ - minutes-from-time
+ - max
+ - lower-case
+ - index-of
+ - doc
+ - deep-equal
+ - data
+ - minutes-from-duration
+ - adjust-dateTime-to-timezone
+ - abs
+ - timezone-from-dateTime
+ - reverse
+ - error
+ - ends-with
+ - day-from-dateTime
+ - year-from-dateTime
+ - upper-case
+ - root
+ - normalize-unicode
+ - empty
+ - insert-before
+ - document-uri
+ - adjust-time-to-timezone
@@ -307,7 +307,7 @@
-
+
@@ -427,7 +427,7 @@
-
+
diff --git a/kate/data/xul.xml b/kate/data/xul.xml
index 0b8362ee9..5e913d2d9 100644
--- a/kate/data/xul.xml
+++ b/kate/data/xul.xml
@@ -4,49 +4,49 @@
]>
-
+
- - if
- - else
- - for
- - in
- - while
- - do
- - continue
- - break
- - with
- - try
- - catch
- - finally
- - switch
- - case
- - new
- - var
- - function
- - return
- - delete
- - true
- - false
- - void
- - throw
- - typeof
- - const
- - default
+ - if
+ - else
+ - for
+ - in
+ - while
+ - do
+ - continue
+ - break
+ - with
+ - try
+ - catch
+ - finally
+ - switch
+ - case
+ - new
+ - var
+ - function
+ - return
+ - delete
+ - true
+ - false
+ - void
+ - throw
+ - typeof
+ - const
+ - default
- - escape
- - isFinite
- - isNaN
- - Number
- - parseFloat
- - parseInt
- - reload
- - taint
- - unescape
- - untaint
- - write
+ - escape
+ - isFinite
+ - isNaN
+ - Number
+ - parseFloat
+ - parseInt
+ - reload
+ - taint
+ - unescape
+ - untaint
+ - write
- Anchor
@@ -87,30 +87,30 @@
- Window
- - abs
- - acos
- - asin
- - atan
- - atan2
- - ceil
- - cos
- - ctg
- - E
- - exp
- - floor
- - LN2
- - LN10
- - log
- - LOG2E
- - LOG10E
- - PI
- - pow
- - round
- - sin
- - sqrt
- - SQRT1_2
- - SQRT2
- - tan
+ - abs
+ - acos
+ - asin
+ - atan
+ - atan2
+ - ceil
+ - cos
+ - ctg
+ - E
+ - exp
+ - floor
+ - LN2
+ - LN10
+ - log
+ - LOG2E
+ - LOG10E
+ - PI
+ - pow
+ - round
+ - sin
+ - sqrt
+ - SQRT1_2
+ - SQRT2
+ - tan
- onAbort
@@ -603,10 +603,8 @@
-
-
diff --git a/kate/data/yacas.xml b/kate/data/yacas.xml
index 3e1159fd7..12dd07879 100644
--- a/kate/data/yacas.xml
+++ b/kate/data/yacas.xml
@@ -1,192 +1,192 @@
-
+
- - And
- - ApplyPure
- - ArrayCreate
- - ArrayGet
- - ArraySet
- - ArraySize
- - Atom
- - Berlekamp
- - BitAnd
- - BitOr
- - BitXor
- - Bodied
- - CTokenizer
- - Check
- - Clear
- - CommonLispTokenizer
- - Concat
- - ConcatStrings
- - CurrentFile
- - CurrentLine
- - CustomEval
- - CustomEval'Expression
- - CustomEval'Locals
- - CustomEval'Result
- - CustomEval'Stop
- - DefLoad
- - DefLoadFunction
- - DefMacroRuleBase
- - DefMacroRuleBaseListed
- - DefaultDirectory
- - DefaultTokenizer
- - Delete
- - DestructiveDelete
- - DestructiveInsert
- - DestructiveReplace
- - DestructiveReverse
- - DllEnumerate
- - DllLoad
- - DllUnload
- - Equals
- - Eval
- - FastArcCos
- - FastArcSin
- - FastArcTan
- - FastAssoc
- - FastCos
- - FastExp
- - FastIsPrime
- - FastLog
- - FastPower
- - FastSin
- - FastTan
- - FindFile
- - FindFunction
- - FlatCopy
- - FromBase
- - FromFile
- - FromString
- - FullForm
- - GarbageCollect
- - GenericTypeName
- - GetExtraInfo
- - GetPrecision
- - GreaterThan
- - Head
- - Hold
- - HoldArg
- - If
- - Infix
- - Insert
- - IsAtom
- - IsBodied
- - IsBound
- - IsFunction
- - IsGeneric
- - IsInfix
- - IsInteger
- - IsList
- - IsNumber
- - IsPostfix
- - IsPrefix
- - IsString
- - LazyGlobal
- - LeftPrecedence
- - Length
- - LessThan
- - LispRead
- - LispReadListed
- - List
- - Listify
- - Load
- - Local
- - LocalSymbols
- - MacroClear
- - MacroLocal
- - MacroRule
- - MacroRuleBase
- - MacroRuleBaseListed
- - MacroRulePattern
- - MacroSet
- - MathAbs
- - MathAdd
- - MathAnd
- - MathArcCos
- - MathArcSin
- - MathArcTan
- - MathCeil
- - MathCos
- - MathDiv
- - MathDivide
- - MathExp
- - MathFac
- - MathFloor
- - MathGcd
- - MathGetExactBits
- - MathLibrary
- - MathLog
- - MathMod
- - MathMultiply
- - MathNot
- - MathNth
- - MathOr
- - MathPi
- - MathPower
- - MathSetExactBits
- - MathSin
- - MathSqrt
- - MathSubtract
- - MathTan
- - MaxEvalDepth
- - Not
- - OpLeftPrecedence
- - OpPrecedence
- - OpRightPrecedence
- - Or
- - PatchLoad
- - PatchString
- - PatternCreate
- - PatternMatches
- - Postfix
- - Precision
- - Prefix
- - PrettyPrinter
- - Prog
- - Read
- - ReadToken
- - Replace
- - Retract
- - RightAssociative
- - RightPrecedence
- - Rule
- - RuleBase
- - RuleBaseArgList
- - RuleBaseDefined
- - RuleBaseListed
- - RulePattern
- - Secure
- - Set
- - SetExtraInfo
- - SetStringMid
- - ShiftLeft
- - ShiftRight
- - String
- - StringMid
- - Subst
- - SystemCall
- - Tail
- - ToBase
- - ToFile
- - ToString
- - TraceRule
- - TraceStack
- - Type
- - UnFence
- - UnList
- - Use
- - Version
- - While
- - Write
- - WriteString
- - XmlExplodeTag
- - XmlTokenizer
- - `
- - =
+ - And
+ - ApplyPure
+ - ArrayCreate
+ - ArrayGet
+ - ArraySet
+ - ArraySize
+ - Atom
+ - Berlekamp
+ - BitAnd
+ - BitOr
+ - BitXor
+ - Bodied
+ - CTokenizer
+ - Check
+ - Clear
+ - CommonLispTokenizer
+ - Concat
+ - ConcatStrings
+ - CurrentFile
+ - CurrentLine
+ - CustomEval
+ - CustomEval'Expression
+ - CustomEval'Locals
+ - CustomEval'Result
+ - CustomEval'Stop
+ - DefLoad
+ - DefLoadFunction
+ - DefMacroRuleBase
+ - DefMacroRuleBaseListed
+ - DefaultDirectory
+ - DefaultTokenizer
+ - Delete
+ - DestructiveDelete
+ - DestructiveInsert
+ - DestructiveReplace
+ - DestructiveReverse
+ - DllEnumerate
+ - DllLoad
+ - DllUnload
+ - Equals
+ - Eval
+ - FastArcCos
+ - FastArcSin
+ - FastArcTan
+ - FastAssoc
+ - FastCos
+ - FastExp
+ - FastIsPrime
+ - FastLog
+ - FastPower
+ - FastSin
+ - FastTan
+ - FindFile
+ - FindFunction
+ - FlatCopy
+ - FromBase
+ - FromFile
+ - FromString
+ - FullForm
+ - GarbageCollect
+ - GenericTypeName
+ - GetExtraInfo
+ - GetPrecision
+ - GreaterThan
+ - Head
+ - Hold
+ - HoldArg
+ - If
+ - Infix
+ - Insert
+ - IsAtom
+ - IsBodied
+ - IsBound
+ - IsFunction
+ - IsGeneric
+ - IsInfix
+ - IsInteger
+ - IsList
+ - IsNumber
+ - IsPostfix
+ - IsPrefix
+ - IsString
+ - LazyGlobal
+ - LeftPrecedence
+ - Length
+ - LessThan
+ - LispRead
+ - LispReadListed
+ - List
+ - Listify
+ - Load
+ - Local
+ - LocalSymbols
+ - MacroClear
+ - MacroLocal
+ - MacroRule
+ - MacroRuleBase
+ - MacroRuleBaseListed
+ - MacroRulePattern
+ - MacroSet
+ - MathAbs
+ - MathAdd
+ - MathAnd
+ - MathArcCos
+ - MathArcSin
+ - MathArcTan
+ - MathCeil
+ - MathCos
+ - MathDiv
+ - MathDivide
+ - MathExp
+ - MathFac
+ - MathFloor
+ - MathGcd
+ - MathGetExactBits
+ - MathLibrary
+ - MathLog
+ - MathMod
+ - MathMultiply
+ - MathNot
+ - MathNth
+ - MathOr
+ - MathPi
+ - MathPower
+ - MathSetExactBits
+ - MathSin
+ - MathSqrt
+ - MathSubtract
+ - MathTan
+ - MaxEvalDepth
+ - Not
+ - OpLeftPrecedence
+ - OpPrecedence
+ - OpRightPrecedence
+ - Or
+ - PatchLoad
+ - PatchString
+ - PatternCreate
+ - PatternMatches
+ - Postfix
+ - Precision
+ - Prefix
+ - PrettyPrinter
+ - Prog
+ - Read
+ - ReadToken
+ - Replace
+ - Retract
+ - RightAssociative
+ - RightPrecedence
+ - Rule
+ - RuleBase
+ - RuleBaseArgList
+ - RuleBaseDefined
+ - RuleBaseListed
+ - RulePattern
+ - Secure
+ - Set
+ - SetExtraInfo
+ - SetStringMid
+ - ShiftLeft
+ - ShiftRight
+ - String
+ - StringMid
+ - Subst
+ - SystemCall
+ - Tail
+ - ToBase
+ - ToFile
+ - ToString
+ - TraceRule
+ - TraceStack
+ - Type
+ - UnFence
+ - UnList
+ - Use
+ - Version
+ - While
+ - Write
+ - WriteString
+ - XmlExplodeTag
+ - XmlTokenizer
+ - `
+ - =
@@ -218,7 +218,6 @@
-
diff --git a/kate/data/yacc.xml b/kate/data/yacc.xml
index 214cb34cc..e5e90d260 100644
--- a/kate/data/yacc.xml
+++ b/kate/data/yacc.xml
@@ -10,6 +10,17 @@ This code is released under the LGPL as part of tdelibs/kate.
== UPDATE HISTORY ==
+2018-02-20 // Nibaldo González
+
+ Fix '$' symbol, highlighted as 'dsError' by C++ (isocpp.xml).
+ Update syntax for Bison (3.0.4):
+ - Add declarations, directives in rules and the '@' variable.
+ - Allow a tag in '%union', declarations in multiple lines and
+ grammar declarations in the grammar rules section.
+ - The ';' char is not necessary to finish a rule. Allow '; |'
+ within rules.
+ Add mimetypes and extensions '*.ypp' & '*.y++'.
+
2007-10-01 // Sebastian Pipping
Added: Extension "*.yy" for Bison
@@ -19,13 +30,9 @@ This code is released under the LGPL as part of tdelibs/kate.
YACC.XML 1.00 - First released.
-== TODO ==
-- Add a mime type (cannot find it !?)
-
-
========================================================================
-->
-
+
@@ -44,16 +51,32 @@ This code is released under the LGPL as part of tdelibs/kate.
-
-
-
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -70,39 +93,109 @@ This code is released under the LGPL as part of tdelibs/kate.
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
+
-
+
-
+
+
+
+
+
+
-
+
-
@@ -128,15 +221,20 @@ This code is released under the LGPL as part of tdelibs/kate.
+
+
+
+
-
-
+
+
+
@@ -147,6 +245,7 @@ This code is released under the LGPL as part of tdelibs/kate.
+
@@ -158,6 +257,7 @@ This code is released under the LGPL as part of tdelibs/kate.
+
diff --git a/kate/data/zonnon.xml b/kate/data/zonnon.xml
index cf3a412b4..ebe51e112 100644
--- a/kate/data/zonnon.xml
+++ b/kate/data/zonnon.xml
@@ -3,102 +3,102 @@
- - accept
- - activity
- - array
- - as
- - await
- - begin
- - by
- - case
- - const
- - definition
- - div
- - do
- - else
- - elsif
- - end
- - exception
- - exit
- - for
- - if
- - implementation
- - implements
- - import
- - in
- - is
- - loop
- - mod
- - module
- - new
- - nil
- - object
- - of
- - on
- - operator
- - or
- - procedure
- - protocol
- - record
- - refines
- - repeat
- - return
- - self
- - termination
- - then
- - to
- - type
- - until
- - var
- - while
+ - accept
+ - activity
+ - array
+ - as
+ - await
+ - begin
+ - by
+ - case
+ - const
+ - definition
+ - div
+ - do
+ - else
+ - elsif
+ - end
+ - exception
+ - exit
+ - for
+ - if
+ - implementation
+ - implements
+ - import
+ - in
+ - is
+ - loop
+ - mod
+ - module
+ - new
+ - nil
+ - object
+ - of
+ - on
+ - operator
+ - or
+ - procedure
+ - protocol
+ - record
+ - refines
+ - repeat
+ - return
+ - self
+ - termination
+ - then
+ - to
+ - type
+ - until
+ - var
+ - while
- - barrier
- - immutable
- - locked
- - private
- - protected
- - public
- - ref
- - sealed
- - shared
- - value
+ - barrier
+ - immutable
+ - locked
+ - private
+ - protected
+ - public
+ - ref
+ - sealed
+ - shared
+ - value
- - abs
- - assert
- - cap
- - copy
- - copyvalue
- - dec
- - excl
- - false
- - halt
- - inc
- - len
- - low
- - max
- - min
- - odd
- - pred
- - read
- - readln
- - reason
- - size
- - succ
- - true
- - write
- - writeln
+ - abs
+ - assert
+ - cap
+ - copy
+ - copyvalue
+ - dec
+ - excl
+ - false
+ - halt
+ - inc
+ - len
+ - low
+ - max
+ - min
+ - odd
+ - pred
+ - read
+ - readln
+ - reason
+ - size
+ - succ
+ - true
+ - write
+ - writeln
- - boolean
- - cardinal
- - char
- - fixed
- - integer
- - real
- - set
- - string
+ - boolean
+ - cardinal
+ - char
+ - fixed
+ - integer
+ - real
+ - set
+ - string
diff --git a/kate/data/zsh.xml b/kate/data/zsh.xml
index cd107842d..086e24373 100644
--- a/kate/data/zsh.xml
+++ b/kate/data/zsh.xml
@@ -8,7 +8,7 @@
]>
-
+
@@ -19,488 +19,488 @@
- - else
- - for
- - function
- - in
- - select
- - until
- - while
- - elif
- - then
- - set
+ - else
+ - for
+ - function
+ - in
+ - select
+ - until
+ - while
+ - elif
+ - then
+ - set
- - -
- - .
- - :
- - alias
- - autoload
- - bg
- - bindkey
- - break
- - builtin
- - bye
- - cap
- - cd
- - chdir
- - clone
- - command
- - comparguments
- - compcall
- - compctl
- - compdescribe
- - compfiles
- - compgroups
- - compquote
- - comptags
- - comptry
- - compvalues
- - continue
- - dirs
- - disable
- - disown
- - echo
- - echotc
- - echoti
- - emulate
- - enable
- - eval
- - exec
- - exit
- - false
- - fc
- - fg
- - functions
- - getcap
- - getopts
- - hash
- - history
- - jobs
- - kill
- - let
- - limit
- - log
- - logout
- - noglob
- - popd
- - print
- - printf
- - pushd
- - pushln
- - pwd
- - r
- - rehash
- - return
- - sched
- - set
- - setcap
- - setopt
- - shift
- - source
- - stat
- - suspend
- - test
- - times
- - trap
- - true
- - ttyctl
- - type
- - ulimit
- - umask
- - unalias
- - unfunction
- - unhash
- - unlimit
- - unset
- - unsetopt
- - vared
- - wait
- - whence
- - where
- - which
- - zcompile
- - zformat
- - zftp
- - zle
- - zmodload
- - zparseopts
- - zprof
- - zpty
- - zregexparse
- - zsocket
- - zstyle
- - ztcp
+ - -
+ - .
+ - :
+ - alias
+ - autoload
+ - bg
+ - bindkey
+ - break
+ - builtin
+ - bye
+ - cap
+ - cd
+ - chdir
+ - clone
+ - command
+ - comparguments
+ - compcall
+ - compctl
+ - compdescribe
+ - compfiles
+ - compgroups
+ - compquote
+ - comptags
+ - comptry
+ - compvalues
+ - continue
+ - dirs
+ - disable
+ - disown
+ - echo
+ - echotc
+ - echoti
+ - emulate
+ - enable
+ - eval
+ - exec
+ - exit
+ - false
+ - fc
+ - fg
+ - functions
+ - getcap
+ - getopts
+ - hash
+ - history
+ - jobs
+ - kill
+ - let
+ - limit
+ - log
+ - logout
+ - noglob
+ - popd
+ - print
+ - printf
+ - pushd
+ - pushln
+ - pwd
+ - r
+ - rehash
+ - return
+ - sched
+ - set
+ - setcap
+ - setopt
+ - shift
+ - source
+ - stat
+ - suspend
+ - test
+ - times
+ - trap
+ - true
+ - ttyctl
+ - type
+ - ulimit
+ - umask
+ - unalias
+ - unfunction
+ - unhash
+ - unlimit
+ - unset
+ - unsetopt
+ - vared
+ - wait
+ - whence
+ - where
+ - which
+ - zcompile
+ - zformat
+ - zftp
+ - zle
+ - zmodload
+ - zparseopts
+ - zprof
+ - zpty
+ - zregexparse
+ - zsocket
+ - zstyle
+ - ztcp
- - declare
- - export
- - float
- - getln
- - integer
- - unset
- - declare
- - typeset
- - local
- - read
- - readonly
+ - declare
+ - export
+ - float
+ - getln
+ - integer
+ - unset
+ - declare
+ - typeset
+ - local
+ - read
+ - readonly
- - arch
- - awk
- - bash
- - bunzip2
- - bzcat
- - bzcmp
- - bzdiff
- - bzegrep
- - bzfgrep
- - bzgrep
- - bzip2
- - bzip2recover
- - bzless
- - bzmore
- - cat
- - chattr
- - chgrp
- - chmod
- - chown
- - chvt
- - cp
- - date
- - dd
- - deallocvt
- - df
- - dir
- - dircolors
- - dmesg
- - dnsdomainname
- - domainname
- - du
- - dumpkeys
- - echo
- - ed
- - egrep
- - false
- - fgconsole
- - fgrep
- - fuser
- - gawk
- - getkeycodes
- - gocr
- - grep
- - groff
- - groups
- - gunzip
- - gzexe
- - gzip
- - hostname
- - igawk
- - install
- - kbd_mode
- - kbdrate
- - killall
- - last
- - lastb
- - link
- - ln
- - loadkeys
- - loadunimap
- - login
- - ls
- - lsattr
- - lsmod
- - lsmod.old
- - lzcat
- - lzcmp
- - lzdiff
- - lzegrep
- - lzfgrep
- - lzgrep
- - lzless
- - lzcat
- - lzma
- - lzmainfo
- - lzmore
- - mapscrn
- - mesg
- - mkdir
- - mkfifo
- - mknod
- - mktemp
- - more
- - mount
- - mv
- - nano
- - netstat
- - nisdomainname
- - nroff
- - openvt
- - pgawk
- - pidof
- - ping
- - ps
- - pstree
- - pwd
- - rbash
- - readlink
- - red
- - resizecons
- - rm
- - rmdir
- - run-parts
- - sash
- - sed
- - setfont
- - setkeycodes
- - setleds
- - setmetamode
- - setserial
- - sh
- - showkey
- - shred
- - sleep
- - ssed
- - stat
- - stty
- - su
- - sync
- - tar
- - tempfile
- - touch
- - troff
- - true
- - umount
- - uname
- - unicode_start
- - unicode_stop
- - unlink
- - unlzma
- - unxz
- - utmpdump
- - uuidgen
- - vdir
- - wall
- - wc
- - xz
- - xzcat
- - ypdomainname
- - zcat
- - zcmp
- - zdiff
- - zegrep
- - zfgrep
- - zforce
- - zgrep
- - zless
- - zmore
- - znew
- - zsh
+ - arch
+ - awk
+ - bash
+ - bunzip2
+ - bzcat
+ - bzcmp
+ - bzdiff
+ - bzegrep
+ - bzfgrep
+ - bzgrep
+ - bzip2
+ - bzip2recover
+ - bzless
+ - bzmore
+ - cat
+ - chattr
+ - chgrp
+ - chmod
+ - chown
+ - chvt
+ - cp
+ - date
+ - dd
+ - deallocvt
+ - df
+ - dir
+ - dircolors
+ - dmesg
+ - dnsdomainname
+ - domainname
+ - du
+ - dumpkeys
+ - echo
+ - ed
+ - egrep
+ - false
+ - fgconsole
+ - fgrep
+ - fuser
+ - gawk
+ - getkeycodes
+ - gocr
+ - grep
+ - groff
+ - groups
+ - gunzip
+ - gzexe
+ - gzip
+ - hostname
+ - igawk
+ - install
+ - kbd_mode
+ - kbdrate
+ - killall
+ - last
+ - lastb
+ - link
+ - ln
+ - loadkeys
+ - loadunimap
+ - login
+ - ls
+ - lsattr
+ - lsmod
+ - lsmod.old
+ - lzcat
+ - lzcmp
+ - lzdiff
+ - lzegrep
+ - lzfgrep
+ - lzgrep
+ - lzless
+ - lzcat
+ - lzma
+ - lzmainfo
+ - lzmore
+ - mapscrn
+ - mesg
+ - mkdir
+ - mkfifo
+ - mknod
+ - mktemp
+ - more
+ - mount
+ - mv
+ - nano
+ - netstat
+ - nisdomainname
+ - nroff
+ - openvt
+ - pgawk
+ - pidof
+ - ping
+ - ps
+ - pstree
+ - pwd
+ - rbash
+ - readlink
+ - red
+ - resizecons
+ - rm
+ - rmdir
+ - run-parts
+ - sash
+ - sed
+ - setfont
+ - setkeycodes
+ - setleds
+ - setmetamode
+ - setserial
+ - sh
+ - showkey
+ - shred
+ - sleep
+ - ssed
+ - stat
+ - stty
+ - su
+ - sync
+ - tar
+ - tempfile
+ - touch
+ - troff
+ - true
+ - umount
+ - uname
+ - unicode_start
+ - unicode_stop
+ - unlink
+ - unlzma
+ - unxz
+ - utmpdump
+ - uuidgen
+ - vdir
+ - wall
+ - wc
+ - xz
+ - xzcat
+ - ypdomainname
+ - zcat
+ - zcmp
+ - zdiff
+ - zegrep
+ - zfgrep
+ - zforce
+ - zgrep
+ - zless
+ - zmore
+ - znew
+ - zsh
- - aclocal
- - aconnect
- - aplay
- - apm
- - apmsleep
- - apropos
- - ar
- - arecord
- - as
- - as86
- - autoconf
- - autoheader
- - automake
- - awk
- - basename
- - bc
- - bison
- - c++
- - cal
- - cat
- - cc
- - cdda2wav
- - cdparanoia
- - cdrdao
- - cd-read
- - cdrecord
- - chfn
- - chgrp
- - chmod
- - chown
- - chroot
- - chsh
- - clear
- - cmp
- - co
- - col
- - comm
- - cp
- - cpio
- - cpp
- - cut
- - dc
- - dd
- - df
- - diff
- - diff3
- - dir
- - dircolors
- - directomatic
- - dirname
- - du
- - env
- - expr
- - fbset
- - file
- - find
- - flex
- - flex++
- - fmt
- - free
- - ftp
- - funzip
- - fuser
- - g++
- - gawk
- - gc
- - gcc
- - gdb
- - getent
- - getopt
- - gettext
- - gettextize
- - gimp
- - gimp-remote
- - gimptool
- - gmake
- - gs
- - head
- - hexdump
- - id
- - install
- - join
- - kill
- - killall
- - ld
- - ld86
- - ldd
- - less
- - lex
- - ln
- - locate
- - lockfile
- - logname
- - lp
- - lpr
- - ls
- - lynx
- - m4
- - make
- - man
- - mkdir
- - mknod
- - msgfmt
- - mv
- - namei
- - nasm
- - nawk
- - nice
- - nl
- - nm
- - nm86
- - nmap
- - nohup
- - nop
- - od
- - passwd
- - patch
- - pcregrep
- - pcretest
- - perl
- - perror
- - pidof
- - pr
- - printf
- - procmail
- - prune
- - ps2ascii
- - ps2epsi
- - ps2frag
- - ps2pdf
- - ps2ps
- - psbook
- - psmerge
- - psnup
- - psresize
- - psselect
- - pstops
- - rcs
- - rev
- - rm
- - scp
- - sed
- - seq
- - setterm
- - shred
- - size
- - size86
- - skill
- - slogin
- - snice
- - sort
- - sox
- - split
- - ssh
- - ssh-add
- - ssh-agent
- - ssh-keygen
- - ssh-keyscan
- - stat
- - strings
- - strip
- - sudo
- - suidperl
- - sum
- - tac
- - tail
- - tee
- - test
- - tr
- - uniq
- - unlink
- - unzip
- - updatedb
- - updmap
- - uptime
- - users
- - vmstat
- - w
- - wc
- - wget
- - whatis
- - whereis
- - which
- - who
- - whoami
- - write
- - xargs
- - yacc
- - yes
- - zip
- - zsoelim
+ - aclocal
+ - aconnect
+ - aplay
+ - apm
+ - apmsleep
+ - apropos
+ - ar
+ - arecord
+ - as
+ - as86
+ - autoconf
+ - autoheader
+ - automake
+ - awk
+ - basename
+ - bc
+ - bison
+ - c++
+ - cal
+ - cat
+ - cc
+ - cdda2wav
+ - cdparanoia
+ - cdrdao
+ - cd-read
+ - cdrecord
+ - chfn
+ - chgrp
+ - chmod
+ - chown
+ - chroot
+ - chsh
+ - clear
+ - cmp
+ - co
+ - col
+ - comm
+ - cp
+ - cpio
+ - cpp
+ - cut
+ - dc
+ - dd
+ - df
+ - diff
+ - diff3
+ - dir
+ - dircolors
+ - directomatic
+ - dirname
+ - du
+ - env
+ - expr
+ - fbset
+ - file
+ - find
+ - flex
+ - flex++
+ - fmt
+ - free
+ - ftp
+ - funzip
+ - fuser
+ - g++
+ - gawk
+ - gc
+ - gcc
+ - gdb
+ - getent
+ - getopt
+ - gettext
+ - gettextize
+ - gimp
+ - gimp-remote
+ - gimptool
+ - gmake
+ - gs
+ - head
+ - hexdump
+ - id
+ - install
+ - join
+ - kill
+ - killall
+ - ld
+ - ld86
+ - ldd
+ - less
+ - lex
+ - ln
+ - locate
+ - lockfile
+ - logname
+ - lp
+ - lpr
+ - ls
+ - lynx
+ - m4
+ - make
+ - man
+ - mkdir
+ - mknod
+ - msgfmt
+ - mv
+ - namei
+ - nasm
+ - nawk
+ - nice
+ - nl
+ - nm
+ - nm86
+ - nmap
+ - nohup
+ - nop
+ - od
+ - passwd
+ - patch
+ - pcregrep
+ - pcretest
+ - perl
+ - perror
+ - pidof
+ - pr
+ - printf
+ - procmail
+ - prune
+ - ps2ascii
+ - ps2epsi
+ - ps2frag
+ - ps2pdf
+ - ps2ps
+ - psbook
+ - psmerge
+ - psnup
+ - psresize
+ - psselect
+ - pstops
+ - rcs
+ - rev
+ - rm
+ - scp
+ - sed
+ - seq
+ - setterm
+ - shred
+ - size
+ - size86
+ - skill
+ - slogin
+ - snice
+ - sort
+ - sox
+ - split
+ - ssh
+ - ssh-add
+ - ssh-agent
+ - ssh-keygen
+ - ssh-keyscan
+ - stat
+ - strings
+ - strip
+ - sudo
+ - suidperl
+ - sum
+ - tac
+ - tail
+ - tee
+ - test
+ - tr
+ - uniq
+ - unlink
+ - unzip
+ - updatedb
+ - updmap
+ - uptime
+ - users
+ - vmstat
+ - w
+ - wc
+ - wget
+ - whatis
+ - whereis
+ - which
+ - who
+ - whoami
+ - write
+ - xargs
+ - yacc
+ - yes
+ - zip
+ - zsoelim
- - dcop
- - kdialog
- - tdefile
- - xhost
- - xmodmap
- - xset
+ - dcop
+ - kdialog
+ - tdefile
+ - xhost
+ - xmodmap
+ - xset
@@ -598,7 +598,7 @@
-
+
@@ -622,8 +622,8 @@
-
-
+
+
@@ -638,11 +638,11 @@
-
+
-
+