summaryrefslogtreecommitdiffstats
path: root/fpga/gpmc
diff options
context:
space:
mode:
authorTimothy Pearson <kb9vqf@pearsoncomputing.net>2014-01-10 23:13:22 -0600
committerTimothy Pearson <kb9vqf@pearsoncomputing.net>2014-01-10 23:13:22 -0600
commit0ffb793cb56ec10a43ae241299b347bc4fef7b5c (patch)
tree19a1f24d715f08da35f137c4b80c5bd1d58e65fa /fpga/gpmc
parent37420cfb78718d7a7ac9bfde754fbd62c6d29f2c (diff)
downloadulab-0ffb793cb56ec10a43ae241299b347bc4fef7b5c.tar.gz
ulab-0ffb793cb56ec10a43ae241299b347bc4fef7b5c.zip
Relayout the GUI to be more in line with expected norms
Add user logic reset signal Stabilize data transfer
Diffstat (limited to 'fpga/gpmc')
-rw-r--r--fpga/gpmc/xilinx/common/main.v18
-rw-r--r--fpga/gpmc/xilinx/numato/spartan6/xc6slx9/main.ucf225
-rw-r--r--fpga/gpmc/xilinx/numato/spartan6/xc6slx9/ulab_debug_interface.xise1
3 files changed, 131 insertions, 113 deletions
diff --git a/fpga/gpmc/xilinx/common/main.v b/fpga/gpmc/xilinx/common/main.v
index a54de0d..a06565a 100644
--- a/fpga/gpmc/xilinx/common/main.v
+++ b/fpga/gpmc/xilinx/common/main.v
@@ -39,6 +39,7 @@ module main(
output reg userproc_start,
input userproc_done,
+ output reg userlogic_reset,
input [3:0] four_bit_leds,
input [7:0] eight_bit_leds,
@@ -239,12 +240,18 @@ module main(
gpmc_advn_reg = gpmc_advn;
gpmc_oen_reg = gpmc_oen;
gpmc_wen_reg = gpmc_wen;
- gpmc_data_reg = gpmc_data;
+ if (gpmc_wen_reg == 1'b0) begin
+ gpmc_data_reg = gpmc_data;
+ end
if (gpmc_advn_reg == 1'b0) begin
gpmc_address_reg = gpmc_address;
data_storage_write_enable = 1'b0;
lcd_data_storage_wea = 1'b0;
end
+ if (gpmc_wen_reg == 1'b1) begin
+ data_storage_write_enable = 1'b0;
+ lcd_data_storage_wea = 1'b0;
+ end
if (gpmc_address_reg[RAM_ADDR_BITS] == 1'b1) begin
// System memory access
@@ -310,6 +317,8 @@ module main(
// Bit 0: User processing start
// Bit 1: User processing done (read only)
// 0x0b: Number of address bits of DSP RAM (read only)
+ // 0x0c: User device control
+ // Bit 0: User logic reset
// 0x20 - 0x3f: LCD data area
if (gpmc_wen_reg == 1'b0) begin
if (gpmc_address_reg[(RAM_ADDR_BITS-1):5] == 1) begin // Address range 0x20 - 0x3f
@@ -333,6 +342,9 @@ module main(
10: begin
userproc_start = gpmc_data_reg[0];
end
+ 12: begin
+ userlogic_reset = gpmc_data_reg[0];
+ end
default: begin
// Do nothing
end
@@ -384,6 +396,10 @@ module main(
11: begin
gpmc_data_out = RAM_ADDR_BITS;
end
+ 12: begin
+ gpmc_data_out[0] = userlogic_reset;
+ gpmc_data_out[7:1] = 0;
+ end
default: begin
gpmc_data_out = 0;
end
diff --git a/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/main.ucf b/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/main.ucf
index 02c10c4..2c6b6b9 100644
--- a/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/main.ucf
+++ b/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/main.ucf
@@ -1,130 +1,131 @@
# (c) 2013 Timothy Pearson, Raptor Engineering
# Released into the Public Domain
-NET "clk" LOC = "V10" |IOSTANDARD = "LVCMOS33";
+NET "clk" LOC = "V10" | IOSTANDARD = "LVCMOS33";
+NET "clk" TNM_NET = clk;
TIMESPEC TS_clk = PERIOD "clk" 100000 KHz HIGH 50%;
#NET "serial_input" LOC = "T12" | IOSTANDARD = "LVCMOS33";
#NET "serial_output" LOC = "M10" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
-NET "gpmc_advn" LOC = "C5" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_oen" LOC = "A3" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_wen" LOC = "A5" |IOSTANDARD = "LVCMOS33";
+NET "gpmc_advn" LOC = "C5" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_oen" LOC = "A3" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_wen" LOC = "A5" | IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<0>" LOC = "A6" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<1>" LOC = "C8" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<2>" LOC = "C9" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<3>" LOC = "A10" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<4>" LOC = "C10" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<5>" LOC = "D9" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<6>" LOC = "D8" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "gpmc_data<7>" LOC = "B6" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<0>" LOC = "A6" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<1>" LOC = "C8" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<2>" LOC = "C9" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<3>" LOC = "A10" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<4>" LOC = "C10" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<5>" LOC = "D9" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<6>" LOC = "D8" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "gpmc_data<7>" LOC = "B6" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<0>" LOC = "A11" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<1>" LOC = "F9" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<2>" LOC = "A9" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<3>" LOC = "A8" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<4>" LOC = "A7" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<5>" LOC = "C6" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<6>" LOC = "A4" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<7>" LOC = "A2" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<8>" LOC = "B11" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<9>" LOC = "G9" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<10>" LOC = "B9" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<11>" LOC = "B8" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<12>" LOC = "C7" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<13>" LOC = "D6" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<14>" LOC = "B4" |IOSTANDARD = "LVCMOS33";
-NET "gpmc_address<15>" LOC = "B2" |IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<0>" LOC = "A11" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<1>" LOC = "F9" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<2>" LOC = "A9" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<3>" LOC = "A8" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<4>" LOC = "A7" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<5>" LOC = "C6" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<6>" LOC = "A4" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<7>" LOC = "A2" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<8>" LOC = "B11" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<9>" LOC = "G9" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<10>" LOC = "B9" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<11>" LOC = "B8" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<12>" LOC = "C7" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<13>" LOC = "D6" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<14>" LOC = "B4" | IOSTANDARD = "LVCMOS33";
+NET "gpmc_address<15>" LOC = "B2" | IOSTANDARD = "LVCMOS33";
-NET "usermem_wen" LOC = "V16" |IOSTANDARD = "LVCMOS33";
-NET "usermem_wait" LOC = "T18" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "userproc_start" LOC = "K16" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "userproc_done" LOC = "L13" |IOSTANDARD = "LVCMOS33";
+NET "usermem_wen" LOC = "V16" | IOSTANDARD = "LVCMOS33";
+NET "usermem_wait" LOC = "T18" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "userproc_start" LOC = "K16" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "userproc_done" LOC = "L13" | IOSTANDARD = "LVCMOS33";
+NET "userlogic_reset" LOC = "E13" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
-NET "usermem_data<0>" LOC = "V14" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<1>" LOC = "T11" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<2>" LOC = "R11" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<3>" LOC = "T14" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<4>" LOC = "U16" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<5>" LOC = "T17" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<6>" LOC = "K15" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
-NET "usermem_data<7>" LOC = "L12" |SLEW = FAST |IOSTANDARD = "LVCMOS33";
+NET "usermem_data<0>" LOC = "V14" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<1>" LOC = "T11" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<2>" LOC = "R11" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<3>" LOC = "T14" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<4>" LOC = "U16" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<5>" LOC = "T17" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<6>" LOC = "K15" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
+NET "usermem_data<7>" LOC = "L12" | SLEW = FAST | IOSTANDARD = "LVCMOS33";
-NET "usermem_address<0>" LOC = "K12" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<1>" LOC = "L14" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<2>" LOC = "M14" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<3>" LOC = "L15" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<4>" LOC = "N15" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<5>" LOC = "P15" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<6>" LOC = "U17" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<7>" LOC = "U13" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<8>" LOC = "V13" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<9>" LOC = "U18" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<10>" LOC = "P16" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<11>" LOC = "N16" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<12>" LOC = "L16" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<13>" LOC = "N14" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<14>" LOC = "M13" |IOSTANDARD = "LVCMOS33";
-NET "usermem_address<15>" LOC = "K13" |IOSTANDARD = "LVCMOS33";
+NET "usermem_address<0>" LOC = "K12" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<1>" LOC = "L14" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<2>" LOC = "M14" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<3>" LOC = "L15" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<4>" LOC = "N15" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<5>" LOC = "P15" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<6>" LOC = "U17" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<7>" LOC = "U13" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<8>" LOC = "V13" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<9>" LOC = "U18" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<10>" LOC = "P16" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<11>" LOC = "N16" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<12>" LOC = "L16" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<13>" LOC = "N14" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<14>" LOC = "M13" | IOSTANDARD = "LVCMOS33";
+NET "usermem_address<15>" LOC = "K13" | IOSTANDARD = "LVCMOS33";
-NET "four_bit_leds<0>" LOC = "G13" |IOSTANDARD = "LVCMOS33";
-NET "four_bit_leds<1>" LOC = "H16" |IOSTANDARD = "LVCMOS33";
-NET "four_bit_leds<2>" LOC = "G14" |IOSTANDARD = "LVCMOS33";
-NET "four_bit_leds<3>" LOC = "F16" |IOSTANDARD = "LVCMOS33";
+NET "four_bit_leds<0>" LOC = "G13" | IOSTANDARD = "LVCMOS33";
+NET "four_bit_leds<1>" LOC = "H16" | IOSTANDARD = "LVCMOS33";
+NET "four_bit_leds<2>" LOC = "G14" | IOSTANDARD = "LVCMOS33";
+NET "four_bit_leds<3>" LOC = "F16" | IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<0>" LOC = "E18" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<1>" LOC = "C18" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<2>" LOC = "A15" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<3>" LOC = "A14" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<4>" LOC = "K14" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<5>" LOC = "H14" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<6>" LOC = "G18" |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_leds<7>" LOC = "F18" |IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<0>" LOC = "E18" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<1>" LOC = "C18" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<2>" LOC = "A15" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<3>" LOC = "A14" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<4>" LOC = "K14" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<5>" LOC = "H14" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<6>" LOC = "G18" | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_leds<7>" LOC = "F18" | IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<0>" LOC = "R10" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<1>" LOC = "T9" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<2>" LOC = "U7" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<3>" LOC = "R7" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<4>" LOC = "N5" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<5>" LOC = "R5" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<6>" LOC = "R3" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<7>" LOC = "T3" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<8>" LOC = "T5" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<9>" LOC = "P6" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<10>" LOC = "T7" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<11>" LOC = "V7" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<12>" LOC = "V9" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<13>" LOC = "T10" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<14>" LOC = "A12" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io<15>" LOC = "B12" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io_wen" LOC = "C11" |IOSTANDARD = "LVCMOS33";
-NET "sixteen_bit_io_mode" LOC = "D11" |IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<0>" LOC = "R10" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<1>" LOC = "T9" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<2>" LOC = "U7" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<3>" LOC = "R7" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<4>" LOC = "N5" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<5>" LOC = "R5" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<6>" LOC = "R3" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<7>" LOC = "T3" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<8>" LOC = "T5" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<9>" LOC = "P6" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<10>" LOC = "T7" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<11>" LOC = "V7" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<12>" LOC = "V9" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<13>" LOC = "T10" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<14>" LOC = "A12" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io<15>" LOC = "B12" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io_wen" LOC = "C11" | IOSTANDARD = "LVCMOS33";
+NET "sixteen_bit_io_mode" LOC = "D11" | IOSTANDARD = "LVCMOS33";
-NET "four_bit_switches<0>" LOC = "H12" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "four_bit_switches<1>" LOC = "H15" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "four_bit_switches<2>" LOC = "F14" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "four_bit_switches<3>" LOC = "F15" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
+NET "four_bit_switches<0>" LOC = "H12" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "four_bit_switches<1>" LOC = "H15" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "four_bit_switches<2>" LOC = "F14" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "four_bit_switches<3>" LOC = "F15" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<0>" LOC = "E16" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<1>" LOC = "C17" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<2>" LOC = "C15" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<3>" LOC = "B14" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<4>" LOC = "J13" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<5>" LOC = "H13" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<6>" LOC = "G16" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
-NET "eight_bit_switches<7>" LOC = "F17" |SLEW = SLOW |IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<0>" LOC = "E16" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<1>" LOC = "C17" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<2>" LOC = "C15" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<3>" LOC = "B14" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<4>" LOC = "J13" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<5>" LOC = "H13" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<6>" LOC = "G16" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
+NET "eight_bit_switches<7>" LOC = "F17" | SLEW = SLOW | IOSTANDARD = "LVCMOS33";
-NET "sseg_mux<0>" LOC = "U11" |IOSTANDARD = "LVCMOS33";
-NET "sseg_mux<1>" LOC = "R8" |IOSTANDARD = "LVCMOS33";
-NET "sseg_mux<2>" LOC = "U8" |IOSTANDARD = "LVCMOS33";
-NET "sseg_mux<3>" LOC = "T6" |IOSTANDARD = "LVCMOS33";
+NET "sseg_mux<0>" LOC = "U11" | IOSTANDARD = "LVCMOS33";
+NET "sseg_mux<1>" LOC = "R8" | IOSTANDARD = "LVCMOS33";
+NET "sseg_mux<2>" LOC = "U8" | IOSTANDARD = "LVCMOS33";
+NET "sseg_mux<3>" LOC = "T6" | IOSTANDARD = "LVCMOS33";
+
+NET "sseg_data<0>" LOC = "U5" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<1>" LOC = "T4" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<2>" LOC = "V4" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<3>" LOC = "V5" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<4>" LOC = "V6" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<5>" LOC = "V8" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<6>" LOC = "T8" | IOSTANDARD = "LVCMOS33";
+NET "sseg_data<7>" LOC = "V11" | IOSTANDARD = "LVCMOS33";
-NET "sseg_data<0>" LOC = "U5" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<1>" LOC = "T4" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<2>" LOC = "V4" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<3>" LOC = "V5" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<4>" LOC = "V6" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<5>" LOC = "V8" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<6>" LOC = "T8" |IOSTANDARD = "LVCMOS33";
-NET "sseg_data<7>" LOC = "V11" |IOSTANDARD = "LVCMOS33";
-#Created by Constraints Editor (xc6slx9-csg324-3) - 2014/01/09
-NET "clk" TNM_NET = clk;
diff --git a/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/ulab_debug_interface.xise b/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/ulab_debug_interface.xise
index f418fb2..565fe9a 100644
--- a/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/ulab_debug_interface.xise
+++ b/fpga/gpmc/xilinx/numato/spartan6/xc6slx9/ulab_debug_interface.xise
@@ -326,6 +326,7 @@
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
+ <property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>