summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorMichele Calgaro <michele.calgaro@yahoo.it>2020-09-18 01:05:16 +0900
committerMichele Calgaro <michele.calgaro@yahoo.it>2020-09-18 01:05:16 +0900
commitb6c36b6b6bdd7d2710eea59b8013d40d95d3ec4b (patch)
tree3e51a576698156e4fcead5f27d1a671be5c489b3
parent6b23f6c7c932f5d4487c46b43211b561e80ab9f4 (diff)
downloadarts-b6c36b6b.tar.gz
arts-b6c36b6b.zip
Removed code formating modelines.
Signed-off-by: Michele Calgaro <michele.calgaro@yahoo.it>
-rw-r--r--artsc/artsdsp.c3
-rw-r--r--flow/audioiojack.cc1
-rw-r--r--flow/audiomanager_impl.cc2
-rw-r--r--flow/gsl/gslartsthreads.cc2
-rw-r--r--flow/gsl/gslartsthreads.h3
-rw-r--r--flow/gsl/gsldatahandle-mad.c2
-rw-r--r--flow/gsl/gsldatautils.c2
-rw-r--r--flow/gsl/gsldatautils.h2
-rw-r--r--flow/gsl/gsldefs.h2
-rw-r--r--flow/gsl/gslengine.c2
-rw-r--r--flow/gsl/gslfft.h2
-rw-r--r--flow/gsl/gslfilter.c6
-rw-r--r--flow/gsl/gslfilter.h2
-rw-r--r--flow/gsl/gslglib.c2
-rw-r--r--flow/gsl/gslglib.h3
-rw-r--r--flow/gsl/gslglibhash.cc2
-rw-r--r--flow/gsl/gslieee754.h2
-rw-r--r--flow/gsl/gslmath.h2
-rw-r--r--flow/gsl/gslopmaster.c1
-rw-r--r--flow/gsl/gsloputil.c3
-rw-r--r--flow/gsl/gsltests.c4
-rw-r--r--flow/gsl/gslwaveosc-aux.c2
-rw-r--r--flow/stereovolumecontrol_impl.cc2
-rw-r--r--flow/synth_play_impl.cc1
-rw-r--r--flow/synth_record_impl.cc2
-rw-r--r--mcop/tcpserver.cc2
-rw-r--r--soundserver/artsshell.cc2
27 files changed, 5 insertions, 56 deletions
diff --git a/artsc/artsdsp.c b/artsc/artsdsp.c
index 0139a74..4713d84 100644
--- a/artsc/artsdsp.c
+++ b/artsc/artsdsp.c
@@ -670,6 +670,3 @@ FILE* fopen(const char *path, const char *mode)
#endif
#endif
-/*
- * vim:ts=4
- */
diff --git a/flow/audioiojack.cc b/flow/audioiojack.cc
index 418b7e2..ca8110a 100644
--- a/flow/audioiojack.cc
+++ b/flow/audioiojack.cc
@@ -343,4 +343,3 @@ void AudioIOJack::notifyTime()
}
#endif
-// vim: sw=4 ts=4
diff --git a/flow/audiomanager_impl.cc b/flow/audiomanager_impl.cc
index 7a6d83b..79e9ce6 100644
--- a/flow/audiomanager_impl.cc
+++ b/flow/audiomanager_impl.cc
@@ -321,5 +321,3 @@ REGISTER_IMPLEMENTATION(Synth_AMAN_PLAY_impl);
REGISTER_IMPLEMENTATION(Synth_AMAN_RECORD_impl);
}
-
-// vim: sw=4 ts=4 noet
diff --git a/flow/gsl/gslartsthreads.cc b/flow/gsl/gslartsthreads.cc
index 82b568a..9bd89e5 100644
--- a/flow/gsl/gslartsthreads.cc
+++ b/flow/gsl/gslartsthreads.cc
@@ -201,5 +201,3 @@ EXTC void
gsl_arts_thread_init (gpointer /*arg*/)
{
}
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gslartsthreads.h b/flow/gsl/gslartsthreads.h
index ac424cb..d7cf746 100644
--- a/flow/gsl/gslartsthreads.h
+++ b/flow/gsl/gslartsthreads.h
@@ -96,7 +96,4 @@ void gsl_arts_thread_init (gpointer arg);
}
#endif /* __cplusplus */
-/* vim:set ts=8 sts=2 sw=2: */
-
#endif // GSLARTSTHREADS_H
-
diff --git a/flow/gsl/gsldatahandle-mad.c b/flow/gsl/gsldatahandle-mad.c
index 201d352..3abe711 100644
--- a/flow/gsl/gsldatahandle-mad.c
+++ b/flow/gsl/gsldatahandle-mad.c
@@ -707,5 +707,3 @@ gsl_data_handle_mad_testopen (const gchar *file_name,
}
#endif /* !GSL_HAVE_LIBMAD */
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gsldatautils.c b/flow/gsl/gsldatautils.c
index ee31a91..758aceb 100644
--- a/flow/gsl/gsldatautils.c
+++ b/flow/gsl/gsldatautils.c
@@ -458,5 +458,3 @@ gsl_data_find_block (GslDataHandle *handle,
}
return -1;
}
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gsldatautils.h b/flow/gsl/gsldatautils.h
index d70b628..f3dbdde 100644
--- a/flow/gsl/gsldatautils.h
+++ b/flow/gsl/gsldatautils.h
@@ -905,5 +905,3 @@ gsl_conv_to_double (GslWaveFormatType format,
#endif /* __cplusplus */
#endif /* __GSL_DATA_UTILS_H__ */
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gsldefs.h b/flow/gsl/gsldefs.h
index 219a625..636db5d 100644
--- a/flow/gsl/gsldefs.h
+++ b/flow/gsl/gsldefs.h
@@ -132,5 +132,3 @@ struct _GslRecMutex
#endif /* __cplusplus */
#endif /* __GSL_DEFS_H__ */
-
-/* vim:set ts=8 sw=2 sts=2: */
diff --git a/flow/gsl/gslengine.c b/flow/gsl/gslengine.c
index d85ebfb..abb8c29 100644
--- a/flow/gsl/gslengine.c
+++ b/flow/gsl/gslengine.c
@@ -749,5 +749,3 @@ gsl_engine_wait_on_trans (void)
/* call all free() functions */
gsl_engine_garbage_collect ();
}
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gslfft.h b/flow/gsl/gslfft.h
index ecfa320..8d6e2f5 100644
--- a/flow/gsl/gslfft.h
+++ b/flow/gsl/gslfft.h
@@ -123,4 +123,4 @@ void gsl_power2_fftsr_simple (const unsigned int n_values,
}
#endif /* __cplusplus */
-#endif /* __GSL_FFT_H__ */ /* vim:set ts=8 sw=2 sts=2: */
+#endif /* __GSL_FFT_H__ */
diff --git a/flow/gsl/gslfilter.c b/flow/gsl/gslfilter.c
index 9cf9b69..1d46800 100644
--- a/flow/gsl/gslfilter.c
+++ b/flow/gsl/gslfilter.c
@@ -1371,9 +1371,3 @@ gsl_filter_sine_scan (guint order,
}
return result;
}
-
-
-
-
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gslfilter.h b/flow/gsl/gslfilter.h
index 0bdb454..0cf1c60 100644
--- a/flow/gsl/gslfilter.h
+++ b/flow/gsl/gslfilter.h
@@ -278,4 +278,4 @@ gsl_trans_freq2z (double w)
}
#endif /* __cplusplus */
-#endif /* __GSL_FILTER_H__ */ /* vim:set ts=8 sw=2 sts=2: */
+#endif /* __GSL_FILTER_H__ */
diff --git a/flow/gsl/gslglib.c b/flow/gsl/gslglib.c
index a69a2fd..758acab 100644
--- a/flow/gsl/gslglib.c
+++ b/flow/gsl/gslglib.c
@@ -2396,5 +2396,3 @@ g_path_is_absolute (const gchar *file_name)
return FALSE;
}
-
-/* vim:set ts=8 sw=2 sts=2: */
diff --git a/flow/gsl/gslglib.h b/flow/gsl/gslglib.h
index 3c3e89e..59ac693 100644
--- a/flow/gsl/gslglib.h
+++ b/flow/gsl/gslglib.h
@@ -854,5 +854,4 @@ void g_scanner_warn (GScanner *scanner,
#undef inline
#endif
-#endif /* __GSL_GLIB_H__ */ /* vim: set ts=8 sw=2 sts=2: */
-
+#endif /* __GSL_GLIB_H__ */
diff --git a/flow/gsl/gslglibhash.cc b/flow/gsl/gslglibhash.cc
index 8782a3e..c933929 100644
--- a/flow/gsl/gslglibhash.cc
+++ b/flow/gsl/gslglibhash.cc
@@ -147,5 +147,3 @@ void g_hash_table_foreach (GHashTable *hash_table,
func ((void*) i->first, (void*) i->second, user_data);
}
}
-
-/* vim:set ts=8 sw=2 sts=2: */
diff --git a/flow/gsl/gslieee754.h b/flow/gsl/gslieee754.h
index 158df6f..9e84111 100644
--- a/flow/gsl/gslieee754.h
+++ b/flow/gsl/gslieee754.h
@@ -243,4 +243,4 @@ gsl_dtoi (double v)
}
#endif /* __cplusplus */
-#endif /* __GSL_IEEE754_H__ */ /* vim: set ts=8 sw=2 sts=2: */
+#endif /* __GSL_IEEE754_H__ */
diff --git a/flow/gsl/gslmath.h b/flow/gsl/gslmath.h
index 4169a34..56139d4 100644
--- a/flow/gsl/gslmath.h
+++ b/flow/gsl/gslmath.h
@@ -515,4 +515,4 @@ gsl_poly_eval (unsigned int degree,
}
#endif /* __cplusplus */
-#endif /* __GSL_MATH_H__ */ /* vim: set ts=8 sw=2 sts=2: */
+#endif /* __GSL_MATH_H__ */
diff --git a/flow/gsl/gslopmaster.c b/flow/gsl/gslopmaster.c
index a1daabb..71940d6 100644
--- a/flow/gsl/gslopmaster.c
+++ b/flow/gsl/gslopmaster.c
@@ -780,4 +780,3 @@ _engine_master_thread (gpointer data)
run = gsl_thread_sleep (0);
}
}
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gsloputil.c b/flow/gsl/gsloputil.c
index f4d619c..fa0ab2f 100644
--- a/flow/gsl/gsloputil.c
+++ b/flow/gsl/gsloputil.c
@@ -716,6 +716,3 @@ _gsl_init_engine_utils (void)
gsl_mutex_init (&pqueue_mutex);
gsl_cond_init (&pqueue_done_cond);
}
-
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gsltests.c b/flow/gsl/gsltests.c
index 09a3edd..2d3e538 100644
--- a/flow/gsl/gsltests.c
+++ b/flow/gsl/gsltests.c
@@ -644,7 +644,3 @@ usage (void)
g_print (" poly | polyr | polyp polynom test (+roots or +poles)\n");
exit (1);
}
-
-
-
-/* vim:set ts=8 sts=2 sw=2: */
diff --git a/flow/gsl/gslwaveosc-aux.c b/flow/gsl/gslwaveosc-aux.c
index 5543ad4..b8e1e6e 100644
--- a/flow/gsl/gslwaveosc-aux.c
+++ b/flow/gsl/gslwaveosc-aux.c
@@ -245,5 +245,3 @@ WOSC_MIX_VARIANT_NAME (GslWaveOscData *wosc,
#undef WOSC_MIX_VARIANT
#undef WOSC_MIX_VARIANT_NAME
-
-/* vim:set ts=8 sw=2 sts=2: */
diff --git a/flow/stereovolumecontrol_impl.cc b/flow/stereovolumecontrol_impl.cc
index 09e055c..c8eb84b 100644
--- a/flow/stereovolumecontrol_impl.cc
+++ b/flow/stereovolumecontrol_impl.cc
@@ -193,5 +193,3 @@ public:
REGISTER_IMPLEMENTATION(StereoVolumeControl_impl);
}
-
-// vim: sw=4 ts=4 noet
diff --git a/flow/synth_play_impl.cc b/flow/synth_play_impl.cc
index 2cdff65..cfb4c98 100644
--- a/flow/synth_play_impl.cc
+++ b/flow/synth_play_impl.cc
@@ -282,4 +282,3 @@ public:
REGISTER_IMPLEMENTATION(Synth_PLAY_impl);
}
-// vim: sw=4 ts=4 noet
diff --git a/flow/synth_record_impl.cc b/flow/synth_record_impl.cc
index 79ae462..34144bb 100644
--- a/flow/synth_record_impl.cc
+++ b/flow/synth_record_impl.cc
@@ -180,5 +180,3 @@ public:
REGISTER_IMPLEMENTATION(Synth_RECORD_impl);
}
-
-// vim: sw=4 ts=4
diff --git a/mcop/tcpserver.cc b/mcop/tcpserver.cc
index c2cffee..ad90676 100644
--- a/mcop/tcpserver.cc
+++ b/mcop/tcpserver.cc
@@ -189,5 +189,3 @@ void TCPServer::notifyIO(int fd, int types)
arts_assert((types & IOType::write) == 0);
arts_assert((types & IOType::except) == 0);
}
-
-// vim: sw=4 ts=4
diff --git a/soundserver/artsshell.cc b/soundserver/artsshell.cc
index 59390c8..10edf93 100644
--- a/soundserver/artsshell.cc
+++ b/soundserver/artsshell.cc
@@ -667,5 +667,3 @@ int main(int argc, char *argv[])
return 0;
}
-
-// vim: sw=4 ts=4